From e27687467cd7431caa702a66ffdfc1ac761d6332 Mon Sep 17 00:00:00 2001 From: "Matthias P. Braendli" Date: Fri, 7 Jul 2023 11:41:07 +0200 Subject: Update schematic and board --- hercules.svg | 66212 ++++++++++++++++++++++++++++++++ tracker-kicad/control.kicad_sch | 456 +- tracker-kicad/tracker-kicad.kicad_pcb | 40377 ++++++++++++++++++- tracker-kicad/tracker-kicad.kicad_prl | 8 +- tracker-kicad/tracker-kicad.kicad_pro | 210 +- tracker-kicad/tracker-kicad.kicad_sch | 1125 +- 6 files changed, 107805 insertions(+), 583 deletions(-) create mode 100644 hercules.svg diff --git a/hercules.svg b/hercules.svg new file mode 100644 index 0000000..1b334c8 --- /dev/null +++ b/hercules.svg @@ -0,0 +1,66212 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/tracker-kicad/control.kicad_sch b/tracker-kicad/control.kicad_sch index ba1637e..2112da8 100644 --- a/tracker-kicad/control.kicad_sch +++ b/tracker-kicad/control.kicad_sch @@ -4,6 +4,14 @@ (paper "A4") + (title_block + (title "Hercules") + (date "2023-07-06") + (rev "0") + (company "HB9EGM") + (comment 1 "LoRa GNSS Tracker with PA") + ) + (lib_symbols (symbol "Connector:Micro_SD_Card_Det_Hirose_DM3AT" (in_bom yes) (on_board yes) (property "Reference" "J" (at -16.51 17.78 0) @@ -1437,58 +1445,6 @@ ) ) ) - (symbol "power:+3.3V" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) - (property "Reference" "#PWR" (at 0 -3.81 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+3.3V" (at 0 3.556 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_keywords" "global power" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "Power symbol creates a global label with name \"+3.3V\"" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "+3.3V_0_1" - (polyline - (pts - (xy -0.762 1.27) - (xy 0 2.54) - ) - (stroke (width 0) (type default)) - (fill (type none)) - ) - (polyline - (pts - (xy 0 0) - (xy 0 2.54) - ) - (stroke (width 0) (type default)) - (fill (type none)) - ) - (polyline - (pts - (xy 0 2.54) - (xy 0.762 1.27) - ) - (stroke (width 0) (type default)) - (fill (type none)) - ) - ) - (symbol "+3.3V_1_1" - (pin power_in line (at 0 0 90) (length 0) hide - (name "+3.3V" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - ) - ) (symbol "power:+3V3" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) (property "Reference" "#PWR" (at 0 -3.81 0) (effects (font (size 1.27 1.27)) hide) @@ -1619,6 +1575,9 @@ (junction (at 191.77 110.49) (diameter 0) (color 0 0 0 0) (uuid 69c64a75-480f-473b-ab87-533efeaac26c) ) + (junction (at 210.82 40.64) (diameter 0) (color 0 0 0 0) + (uuid 78776773-ddf8-4199-a1d0-64303a1f133d) + ) (junction (at 130.81 54.61) (diameter 0) (color 0 0 0 0) (uuid 8049c332-9674-4bce-820c-b46e4a919715) ) @@ -1786,10 +1745,6 @@ (stroke (width 0) (type default)) (uuid 77cc7615-2e9b-433f-9f4f-b0001f7c5109) ) - (wire (pts (xy 232.41 39.37) (xy 232.41 40.64)) - (stroke (width 0) (type default)) - (uuid 798e1b5e-3e3b-464e-a830-965d198d3402) - ) (wire (pts (xy 125.73 85.09) (xy 132.08 85.09)) (stroke (width 0) (type default)) (uuid 7a73ae8b-f565-4b46-a6b3-32a80a741ed6) @@ -1938,6 +1893,10 @@ (stroke (width 0) (type default)) (uuid e94c3a87-5ccd-40d9-998c-b95e6d45fae7) ) + (wire (pts (xy 210.82 40.64) (xy 232.41 40.64)) + (stroke (width 0) (type default)) + (uuid ea5dd9a4-4b1a-4569-9259-26fe3627498d) + ) (wire (pts (xy 165.1 107.95) (xy 181.61 107.95)) (stroke (width 0) (type default)) (uuid ed4d45af-df9f-42a5-a602-38585cc4debf) @@ -1970,6 +1929,10 @@ (stroke (width 0) (type default)) (uuid fa472e16-2e0c-4c22-b7a6-26c535ae4844) ) + (wire (pts (xy 177.8 80.01) (xy 165.1 80.01)) + (stroke (width 0) (type default)) + (uuid fafa4a8c-a416-4bb8-8dbd-bd9601f548a8) + ) (wire (pts (xy 218.44 115.57) (xy 217.17 115.57)) (stroke (width 0) (type default)) (uuid fcc8ae75-fab2-4364-bad9-d23849d2b422) @@ -2202,6 +2165,10 @@ (effects (font (size 1.27 1.27)) (justify left)) (uuid 5869b987-ec20-41a1-9e94-5b1b1f1a46d8) ) + (hierarchical_label "BAT_MEAS" (shape input) (at 177.8 80.01 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid a20f5c40-f09c-4e2c-8fc9-ec89b144ac0f) + ) (hierarchical_label "USART1_TX" (shape output) (at 165.1 102.87 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left)) (uuid a7804db0-4718-4523-878a-9308b3aeca89) @@ -2247,7 +2214,7 @@ ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" - (reference "#PWR?") (unit 1) + (reference "#PWR058") (unit 1) ) ) ) @@ -2256,7 +2223,7 @@ (symbol (lib_id "Switch:SW_Push") (at 50.8 110.49 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid 05f6760c-3f6b-4cb9-a11a-4a6bec66bbde) - (property "Reference" "SW1" (at 50.8 102.87 0) + (property "Reference" "SW?" (at 50.8 102.87 0) (effects (font (size 1.27 1.27))) ) (property "Value" "A" (at 50.8 105.41 0) @@ -2279,12 +2246,12 @@ (instances (project "kicad-dart-70" (path "/7c83c304-769a-4be4-890e-297aba22b5b9/2868e76c-709b-493b-aaa8-b58a2fce5e93" - (reference "SW1") (unit 1) + (reference "SW?") (unit 1) ) ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" - (reference "SW4") (unit 1) + (reference "SW3") (unit 1) ) ) ) @@ -2321,7 +2288,7 @@ ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" - (reference "C?") (unit 1) + (reference "C29") (unit 1) ) ) ) @@ -2351,7 +2318,7 @@ ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" - (reference "#PWR02") (unit 1) + (reference "#PWR075") (unit 1) ) ) ) @@ -2385,7 +2352,7 @@ ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" - (reference "R?") (unit 1) + (reference "R31") (unit 1) ) ) ) @@ -2419,7 +2386,7 @@ ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" - (reference "R?") (unit 1) + (reference "R36") (unit 1) ) ) ) @@ -2449,7 +2416,7 @@ ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" - (reference "#PWR056") (unit 1) + (reference "#PWR055") (unit 1) ) ) ) @@ -2486,7 +2453,7 @@ ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" - (reference "C?") (unit 1) + (reference "C28") (unit 1) ) ) ) @@ -2516,7 +2483,7 @@ ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" - (reference "#PWR?") (unit 1) + (reference "#PWR068") (unit 1) ) ) ) @@ -2546,7 +2513,7 @@ ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" - (reference "#PWR?") (unit 1) + (reference "#PWR076") (unit 1) ) ) ) @@ -2555,13 +2522,13 @@ (symbol (lib_id "Connector:Screw_Terminal_01x06") (at 36.83 45.72 0) (mirror y) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid 3376d1d0-894d-4094-a353-7f3025a7d011) - (property "Reference" "J6" (at 36.83 35.56 0) + (property "Reference" "J8" (at 36.83 35.56 0) (effects (font (size 1.27 1.27))) ) (property "Value" "Screw_Terminal_01x06" (at 36.83 38.1 0) (effects (font (size 1.27 1.27))) ) - (property "Footprint" "" (at 36.83 45.72 0) + (property "Footprint" "Connector_PinHeader_2.54mm:PinHeader_1x06_P2.54mm_Vertical" (at 36.83 45.72 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "~" (at 36.83 45.72 0) @@ -2576,7 +2543,7 @@ (instances (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" - (reference "J6") (unit 1) + (reference "J8") (unit 1) ) ) ) @@ -2610,7 +2577,7 @@ ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" - (reference "R?") (unit 1) + (reference "R43") (unit 1) ) ) ) @@ -2640,7 +2607,7 @@ ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" - (reference "#PWR?") (unit 1) + (reference "#PWR063") (unit 1) ) ) ) @@ -2677,7 +2644,7 @@ ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" - (reference "C?") (unit 1) + (reference "C33") (unit 1) ) ) ) @@ -2686,13 +2653,13 @@ (symbol (lib_id "Switch:SW_Coded") (at 96.52 140.97 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid 48be4c2e-6e08-4e2c-b59f-5dc590e81fc4) - (property "Reference" "SW1" (at 97.155 129.54 0) + (property "Reference" "SW4" (at 97.155 129.54 0) (effects (font (size 1.27 1.27))) ) (property "Value" "STATUS" (at 97.155 132.08 0) (effects (font (size 1.27 1.27))) ) - (property "Footprint" "" (at 95.885 140.335 0) + (property "Footprint" "mpb:Series_221AM_RotaryDIPSwitch" (at 95.885 140.335 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "/home/bram/Sync/Doc/Datasheet/221AMC rotary dip switch.pdf" (at 95.885 140.335 0) @@ -2712,7 +2679,7 @@ (instances (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" - (reference "SW1") (unit 1) + (reference "SW4") (unit 1) ) ) ) @@ -2746,7 +2713,7 @@ ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" - (reference "R2") (unit 1) + (reference "R39") (unit 1) ) ) ) @@ -2776,7 +2743,7 @@ ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" - (reference "#PWR?") (unit 1) + (reference "#PWR067") (unit 1) ) ) ) @@ -2785,7 +2752,7 @@ (symbol (lib_id "Device:R") (at 64.77 87.63 90) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid 51607e8b-d10f-4c1c-a43b-0b2748b1a813) - (property "Reference" "R176" (at 64.77 91.44 90) + (property "Reference" "R?" (at 64.77 91.44 90) (effects (font (size 1.27 1.27))) ) (property "Value" "5.1k" (at 64.77 93.98 90) @@ -2805,12 +2772,12 @@ (instances (project "kicad-dart-70" (path "/7c83c304-769a-4be4-890e-297aba22b5b9/2868e76c-709b-493b-aaa8-b58a2fce5e93" - (reference "R176") (unit 1) + (reference "R?") (unit 1) ) ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" - (reference "R29") (unit 1) + (reference "R28") (unit 1) ) ) ) @@ -2840,7 +2807,7 @@ ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" - (reference "#PWR058") (unit 1) + (reference "#PWR050") (unit 1) ) ) ) @@ -2870,7 +2837,7 @@ ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" - (reference "#PWR?") (unit 1) + (reference "#PWR061") (unit 1) ) ) ) @@ -2879,7 +2846,7 @@ (symbol (lib_id "Device:R") (at 64.77 110.49 90) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid 686806c9-50c8-4627-9408-e5b435c78ee0) - (property "Reference" "R176" (at 64.77 114.3 90) + (property "Reference" "R?" (at 64.77 114.3 90) (effects (font (size 1.27 1.27))) ) (property "Value" "5.1k" (at 64.77 116.84 90) @@ -2899,12 +2866,12 @@ (instances (project "kicad-dart-70" (path "/7c83c304-769a-4be4-890e-297aba22b5b9/2868e76c-709b-493b-aaa8-b58a2fce5e93" - (reference "R176") (unit 1) + (reference "R?") (unit 1) ) ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" - (reference "R31") (unit 1) + (reference "R30") (unit 1) ) ) ) @@ -2938,7 +2905,7 @@ ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" - (reference "R?") (unit 1) + (reference "R32") (unit 1) ) ) ) @@ -2975,7 +2942,7 @@ ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" - (reference "C?") (unit 1) + (reference "C32") (unit 1) ) ) ) @@ -3005,7 +2972,7 @@ ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" - (reference "#PWR?") (unit 1) + (reference "#PWR079") (unit 1) ) ) ) @@ -3035,7 +3002,7 @@ ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" - (reference "#PWR04") (unit 1) + (reference "#PWR073") (unit 1) ) ) ) @@ -3044,7 +3011,7 @@ (symbol (lib_name "STM32F103C8Tx_1") (lib_id "MCU_ST_STM32F1:STM32F103C8Tx") (at 147.32 115.57 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid 6fa040c1-5cfc-4c95-a21c-977b26aaef34) - (property "Reference" "U3" (at 151.8159 153.67 0) + (property "Reference" "U5" (at 151.8159 153.67 0) (effects (font (size 1.27 1.27)) (justify left)) ) (property "Value" "STM32F103C8Tx" (at 151.8159 156.21 0) @@ -3107,7 +3074,7 @@ (instances (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" - (reference "U3") (unit 1) + (reference "U5") (unit 1) ) ) ) @@ -3144,7 +3111,7 @@ ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" - (reference "C?") (unit 1) + (reference "C34") (unit 1) ) ) ) @@ -3153,7 +3120,7 @@ (symbol (lib_id "Switch:SW_Push") (at 50.8 99.06 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid 7a47fd27-5901-46d1-ac73-82f5732678d9) - (property "Reference" "SW1" (at 50.8 91.44 0) + (property "Reference" "SW?" (at 50.8 91.44 0) (effects (font (size 1.27 1.27))) ) (property "Value" "A" (at 50.8 93.98 0) @@ -3176,12 +3143,12 @@ (instances (project "kicad-dart-70" (path "/7c83c304-769a-4be4-890e-297aba22b5b9/2868e76c-709b-493b-aaa8-b58a2fce5e93" - (reference "SW1") (unit 1) + (reference "SW?") (unit 1) ) ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" - (reference "SW3") (unit 1) + (reference "SW2") (unit 1) ) ) ) @@ -3215,7 +3182,7 @@ ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" - (reference "R3") (unit 1) + (reference "R38") (unit 1) ) ) ) @@ -3245,7 +3212,7 @@ ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" - (reference "#PWR?") (unit 1) + (reference "#PWR078") (unit 1) ) ) ) @@ -3275,7 +3242,7 @@ ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" - (reference "#PWR061") (unit 1) + (reference "#PWR057") (unit 1) ) ) ) @@ -3305,7 +3272,7 @@ ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" - (reference "#PWR?") (unit 1) + (reference "#PWR069") (unit 1) ) ) ) @@ -3335,7 +3302,7 @@ ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" - (reference "#PWR?") (unit 1) + (reference "#PWR072") (unit 1) ) ) ) @@ -3369,7 +3336,7 @@ ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" - (reference "R?") (unit 1) + (reference "R37") (unit 1) ) ) ) @@ -3403,7 +3370,7 @@ ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" - (reference "R?") (unit 1) + (reference "R41") (unit 1) ) ) ) @@ -3433,7 +3400,7 @@ ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" - (reference "#PWR059") (unit 1) + (reference "#PWR051") (unit 1) ) ) ) @@ -3442,7 +3409,7 @@ (symbol (lib_id "Device:LED") (at 62.23 146.05 180) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid 86c8694c-d507-43f4-9047-0492dbdd9c18) - (property "Reference" "D1" (at 63.8175 140.335 0) + (property "Reference" "D?" (at 63.8175 140.335 0) (effects (font (size 1.27 1.27))) ) (property "Value" "STATUS" (at 63.8175 142.875 0) @@ -3462,12 +3429,12 @@ (instances (project "kicad-eval-clock" (path "/b0b8ec33-924a-4e85-8293-067de3e905b5" - (reference "D1") (unit 1) + (reference "D?") (unit 1) ) ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" - (reference "D2") (unit 1) + (reference "D8") (unit 1) ) ) ) @@ -3497,7 +3464,7 @@ ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" - (reference "#PWR?") (unit 1) + (reference "#PWR062") (unit 1) ) ) ) @@ -3561,7 +3528,7 @@ ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" - (reference "R?") (unit 1) + (reference "R34") (unit 1) ) ) ) @@ -3591,7 +3558,7 @@ ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" - (reference "#PWR057") (unit 1) + (reference "#PWR056") (unit 1) ) ) ) @@ -3600,13 +3567,13 @@ (symbol (lib_id "Device:R") (at 54.61 146.05 90) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid 96a2d226-579c-469b-ae76-5989bdd64a8f) - (property "Reference" "R14" (at 54.61 141.605 90) + (property "Reference" "R?" (at 54.61 141.605 90) (effects (font (size 1.27 1.27))) ) (property "Value" "510" (at 54.61 144.145 90) (effects (font (size 1.27 1.27))) ) - (property "Footprint" "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (at 54.61 147.828 90) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (at 54.61 147.828 90) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "~" (at 54.61 146.05 0) @@ -3620,12 +3587,12 @@ (instances (project "kicad-eval-clock" (path "/b0b8ec33-924a-4e85-8293-067de3e905b5" - (reference "R14") (unit 1) + (reference "R?") (unit 1) ) ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" - (reference "R10") (unit 1) + (reference "R27") (unit 1) ) ) ) @@ -3659,7 +3626,7 @@ ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" - (reference "R?") (unit 1) + (reference "R42") (unit 1) ) ) ) @@ -3700,7 +3667,7 @@ ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" - (reference "J?") (unit 1) + (reference "J9") (unit 1) ) ) ) @@ -3730,7 +3697,7 @@ ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" - (reference "#PWR?") (unit 1) + (reference "#PWR066") (unit 1) ) ) ) @@ -3760,28 +3727,88 @@ ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" + (reference "#PWR070") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 210.82 40.64 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 9e72f885-ce7e-4c83-9009-25cad5274025) + (property "Reference" "#PWR?" (at 210.82 44.45 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 210.82 35.56 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 210.82 40.64 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 210.82 40.64 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 485eab23-82da-4c0a-bbe8-85dcc8f73ff6)) + (instances + (project "kicad-dart-70" + (path "/7c83c304-769a-4be4-890e-297aba22b5b9/2868e76c-709b-493b-aaa8-b58a2fce5e93" (reference "#PWR?") (unit 1) ) ) + (project "tracker-kicad" + (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" + (reference "#PWR074") (unit 1) + ) + ) ) ) - (symbol (lib_id "power:+3V3") (at 232.41 39.37 90) (unit 1) + (symbol (lib_id "power:GND") (at 210.82 45.72 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid 9e72f885-ce7e-4c83-9009-25cad5274025) - (property "Reference" "#PWR?" (at 236.22 39.37 0) + (uuid 9f558f6b-30f7-47bf-936e-aefff68cf631) + (property "Reference" "#PWR?" (at 210.82 52.07 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 210.947 50.1142 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Footprint" "" (at 210.82 45.72 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "+3V3" (at 228.6 39.37 90) + (property "Datasheet" "" (at 210.82 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 92aa7d08-664a-4bc2-9930-0d9adea32c17)) + (instances + (project "kicad-dart-70" + (path "/7c83c304-769a-4be4-890e-297aba22b5b9/2868e76c-709b-493b-aaa8-b58a2fce5e93" + (reference "#PWR?") (unit 1) + ) + ) + (project "tracker-kicad" + (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" + (reference "#PWR082") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 50.8 137.16 90) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid a45cf4f7-879a-4944-802f-e462290fc674) + (property "Reference" "#PWR?" (at 54.61 137.16 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 46.99 137.16 90) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "" (at 232.41 39.37 0) + (property "Footprint" "" (at 50.8 137.16 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 232.41 39.37 0) + (property "Datasheet" "" (at 50.8 137.16 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid 485eab23-82da-4c0a-bbe8-85dcc8f73ff6)) + (pin "1" (uuid 84c9693b-eb66-475f-bd51-8615f600eafc)) (instances (project "kicad-dart-70" (path "/7c83c304-769a-4be4-890e-297aba22b5b9/2868e76c-709b-493b-aaa8-b58a2fce5e93" @@ -3790,7 +3817,7 @@ ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" - (reference "#PWR03") (unit 1) + (reference "#PWR053") (unit 1) ) ) ) @@ -3824,7 +3851,7 @@ ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" - (reference "R?") (unit 1) + (reference "R44") (unit 1) ) ) ) @@ -3854,67 +3881,74 @@ ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" - (reference "#PWR060") (unit 1) + (reference "#PWR052") (unit 1) ) ) ) ) - (symbol (lib_id "power:GND") (at 120.65 59.69 0) (unit 1) + (symbol (lib_id "Device:C_Small") (at 210.82 43.18 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid a7b5040e-cb33-4f95-bc62-3654126e3b3b) - (property "Reference" "#PWR?" (at 120.65 66.04 0) + (uuid a5781331-496a-4e0b-9871-0664cc47b625) + (property "Reference" "C?" (at 213.1568 42.0116 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "0.1uF" (at 213.1568 44.323 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder" (at 210.82 43.18 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "GND" (at 120.777 64.0842 0) - (effects (font (size 1.27 1.27))) + (property "Datasheet" "~" (at 210.82 43.18 0) + (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "" (at 120.65 59.69 0) + (property "MPN" "GRM188R71H104KA93D" (at 210.82 43.18 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 120.65 59.69 0) + (property "Need_order" "0" (at 210.82 43.18 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid 8e9ff5ee-2356-4d1b-b12d-ee8ace8a5a86)) + (pin "1" (uuid 866aab3e-1831-40a3-948b-ecca9fb7f341)) + (pin "2" (uuid f47a9bd2-c629-467d-beaa-12a31036fa7d)) (instances (project "kicad-dart-70" (path "/7c83c304-769a-4be4-890e-297aba22b5b9/2868e76c-709b-493b-aaa8-b58a2fce5e93" - (reference "#PWR?") (unit 1) + (reference "C?") (unit 1) ) ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" - (reference "#PWR?") (unit 1) + (reference "C36") (unit 1) ) ) ) ) - (symbol (lib_id "power:+3.3V") (at 50.8 146.05 90) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid ad84e60d-adcb-4ff4-88e5-e4daa8867101) - (property "Reference" "#PWR019" (at 54.61 146.05 0) + (symbol (lib_id "power:GND") (at 120.65 59.69 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid a7b5040e-cb33-4f95-bc62-3654126e3b3b) + (property "Reference" "#PWR?" (at 120.65 66.04 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "+3.3V" (at 47.625 146.685 90) - (effects (font (size 1.27 1.27)) (justify left)) + (property "Value" "GND" (at 120.777 64.0842 0) + (effects (font (size 1.27 1.27))) ) - (property "Footprint" "" (at 50.8 146.05 0) + (property "Footprint" "" (at 120.65 59.69 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 50.8 146.05 0) + (property "Datasheet" "" (at 120.65 59.69 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid ce6e9bc5-919b-4c36-bfd3-38f841394b0f)) + (pin "1" (uuid 8e9ff5ee-2356-4d1b-b12d-ee8ace8a5a86)) (instances - (project "kicad-eval-clock" - (path "/b0b8ec33-924a-4e85-8293-067de3e905b5" - (reference "#PWR019") (unit 1) + (project "kicad-dart-70" + (path "/7c83c304-769a-4be4-890e-297aba22b5b9/2868e76c-709b-493b-aaa8-b58a2fce5e93" + (reference "#PWR?") (unit 1) ) ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" - (reference "#PWR016") (unit 1) + (reference "#PWR064") (unit 1) ) ) ) @@ -3944,7 +3978,7 @@ ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" - (reference "#PWR?") (unit 1) + (reference "#PWR077") (unit 1) ) ) ) @@ -3978,7 +4012,7 @@ ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" - (reference "R1") (unit 1) + (reference "R40") (unit 1) ) ) ) @@ -4008,7 +4042,7 @@ ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" - (reference "#PWR?") (unit 1) + (reference "#PWR065") (unit 1) ) ) ) @@ -4038,7 +4072,7 @@ ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" - (reference "#PWR018") (unit 1) + (reference "#PWR048") (unit 1) ) ) ) @@ -4072,7 +4106,7 @@ ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" - (reference "R?") (unit 1) + (reference "R35") (unit 1) ) ) ) @@ -4081,7 +4115,7 @@ (symbol (lib_id "Switch:SW_Push") (at 50.8 87.63 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid c497ffb1-f931-44fe-9af9-706fe7d5201b) - (property "Reference" "SW1" (at 50.8 80.01 0) + (property "Reference" "SW?" (at 50.8 80.01 0) (effects (font (size 1.27 1.27))) ) (property "Value" "A" (at 50.8 82.55 0) @@ -4104,12 +4138,12 @@ (instances (project "kicad-dart-70" (path "/7c83c304-769a-4be4-890e-297aba22b5b9/2868e76c-709b-493b-aaa8-b58a2fce5e93" - (reference "SW1") (unit 1) + (reference "SW?") (unit 1) ) ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" - (reference "SW2") (unit 1) + (reference "SW1") (unit 1) ) ) ) @@ -4143,7 +4177,7 @@ ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" - (reference "R?") (unit 1) + (reference "R33") (unit 1) ) ) ) @@ -4173,7 +4207,7 @@ ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" - (reference "#PWR?") (unit 1) + (reference "#PWR071") (unit 1) ) ) ) @@ -4203,7 +4237,7 @@ ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" - (reference "#PWR014") (unit 1) + (reference "#PWR060") (unit 1) ) ) ) @@ -4233,7 +4267,7 @@ ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" - (reference "#PWR01") (unit 1) + (reference "#PWR080") (unit 1) ) ) ) @@ -4270,7 +4304,7 @@ ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" - (reference "C?") (unit 1) + (reference "C30") (unit 1) ) ) ) @@ -4300,7 +4334,7 @@ ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" - (reference "#PWR?") (unit 1) + (reference "#PWR059") (unit 1) ) ) ) @@ -4340,37 +4374,7 @@ ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" - (reference "J?") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "power:+3.3V") (at 50.8 137.16 90) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid db8fe65c-41a5-4013-bb97-fe8bab44a765) - (property "Reference" "#PWR019" (at 54.61 137.16 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+3.3V" (at 47.625 137.795 90) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "" (at 50.8 137.16 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 50.8 137.16 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 6709c6ef-5843-49e4-b42e-6a437eec8a51)) - (instances - (project "kicad-eval-clock" - (path "/b0b8ec33-924a-4e85-8293-067de3e905b5" - (reference "#PWR019") (unit 1) - ) - ) - (project "tracker-kicad" - (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" - (reference "#PWR015") (unit 1) + (reference "J10") (unit 1) ) ) ) @@ -4379,7 +4383,7 @@ (symbol (lib_id "Connector:Micro_SD_Card_Det_Hirose_DM3AT") (at 255.27 43.18 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid e21f9b5e-4aaf-4720-bdf4-9ba338280712) - (property "Reference" "J1" (at 255.905 22.86 0) + (property "Reference" "J11" (at 255.905 22.86 0) (effects (font (size 1.27 1.27))) ) (property "Value" "Micro_SD_Card_Det_Hirose_DM3AT" (at 255.905 25.4 0) @@ -4408,7 +4412,7 @@ (instances (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" - (reference "J1") (unit 1) + (reference "J11") (unit 1) ) ) ) @@ -4417,7 +4421,7 @@ (symbol (lib_id "Device:LED") (at 62.23 137.16 180) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid e54c7bd4-c019-4c41-b58c-0c1306e4c0c7) - (property "Reference" "D1" (at 63.8175 131.445 0) + (property "Reference" "D?" (at 63.8175 131.445 0) (effects (font (size 1.27 1.27))) ) (property "Value" "TX" (at 63.8175 133.985 0) @@ -4437,12 +4441,12 @@ (instances (project "kicad-eval-clock" (path "/b0b8ec33-924a-4e85-8293-067de3e905b5" - (reference "D1") (unit 1) + (reference "D?") (unit 1) ) ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" - (reference "D1") (unit 1) + (reference "D7") (unit 1) ) ) ) @@ -4479,7 +4483,37 @@ ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" - (reference "FB?") (unit 1) + (reference "FB3") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 50.8 146.05 90) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid ef8bb856-790f-4a15-ab2a-9a8538e3f3e3) + (property "Reference" "#PWR?" (at 54.61 146.05 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 46.99 146.05 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (at 50.8 146.05 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 50.8 146.05 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 44778828-444d-4117-8ee5-ec18a3907e51)) + (instances + (project "kicad-dart-70" + (path "/7c83c304-769a-4be4-890e-297aba22b5b9/2868e76c-709b-493b-aaa8-b58a2fce5e93" + (reference "#PWR?") (unit 1) + ) + ) + (project "tracker-kicad" + (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" + (reference "#PWR054") (unit 1) ) ) ) @@ -4516,7 +4550,7 @@ ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" - (reference "C?") (unit 1) + (reference "C31") (unit 1) ) ) ) @@ -4525,7 +4559,7 @@ (symbol (lib_id "Device:R") (at 64.77 99.06 90) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid f2cdc043-0377-478a-80ff-8d7e540c230a) - (property "Reference" "R176" (at 64.77 102.87 90) + (property "Reference" "R?" (at 64.77 102.87 90) (effects (font (size 1.27 1.27))) ) (property "Value" "5.1k" (at 64.77 105.41 90) @@ -4545,12 +4579,12 @@ (instances (project "kicad-dart-70" (path "/7c83c304-769a-4be4-890e-297aba22b5b9/2868e76c-709b-493b-aaa8-b58a2fce5e93" - (reference "R176") (unit 1) + (reference "R?") (unit 1) ) ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" - (reference "R30") (unit 1) + (reference "R29") (unit 1) ) ) ) @@ -4588,7 +4622,7 @@ ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" - (reference "Y?") (unit 1) + (reference "Y1") (unit 1) ) ) ) @@ -4597,13 +4631,13 @@ (symbol (lib_id "Device:R") (at 54.61 137.16 90) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid fb5fe782-f1cf-45ab-9f68-bb5382943e35) - (property "Reference" "R14" (at 54.61 132.715 90) + (property "Reference" "R?" (at 54.61 132.715 90) (effects (font (size 1.27 1.27))) ) (property "Value" "510" (at 54.61 135.255 90) (effects (font (size 1.27 1.27))) ) - (property "Footprint" "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (at 54.61 138.938 90) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (at 54.61 138.938 90) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "~" (at 54.61 137.16 0) @@ -4617,12 +4651,12 @@ (instances (project "kicad-eval-clock" (path "/b0b8ec33-924a-4e85-8293-067de3e905b5" - (reference "R14") (unit 1) + (reference "R?") (unit 1) ) ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" - (reference "R9") (unit 1) + (reference "R26") (unit 1) ) ) ) diff --git a/tracker-kicad/tracker-kicad.kicad_pcb b/tracker-kicad/tracker-kicad.kicad_pcb index 2b8ba10..8f96b98 100644 --- a/tracker-kicad/tracker-kicad.kicad_pcb +++ b/tracker-kicad/tracker-kicad.kicad_pcb @@ -1,2 +1,40377 @@ (kicad_pcb (version 20221018) (generator pcbnew) -) \ No newline at end of file + + (general + (thickness 1.6) + ) + + (paper "A4") + (title_block + (title "Hercules") + (date "2023-07-06") + (rev "0") + (company "HB9EGM") + (comment 1 "LoRa GNSS Tracker with PA") + ) + + (layers + (0 "F.Cu" signal) + (31 "B.Cu" signal) + (32 "B.Adhes" user "B.Adhesive") + (33 "F.Adhes" user "F.Adhesive") + (34 "B.Paste" user) + (35 "F.Paste" user) + (36 "B.SilkS" user "B.Silkscreen") + (37 "F.SilkS" user "F.Silkscreen") + (38 "B.Mask" user) + (39 "F.Mask" user) + (40 "Dwgs.User" user "User.Drawings") + (41 "Cmts.User" user "User.Comments") + (42 "Eco1.User" user "User.Eco1") + (43 "Eco2.User" user "User.Eco2") + (44 "Edge.Cuts" user) + (45 "Margin" user) + (46 "B.CrtYd" user "B.Courtyard") + (47 "F.CrtYd" user "F.Courtyard") + (48 "B.Fab" user) + (49 "F.Fab" user) + (50 "User.1" user) + (51 "User.2" user) + (52 "User.3" user) + (53 "User.4" user) + (54 "User.5" user) + (55 "User.6" user) + (56 "User.7" user) + (57 "User.8" user) + (58 "User.9" user) + ) + + (setup + (stackup + (layer "F.SilkS" (type "Top Silk Screen")) + (layer "F.Paste" (type "Top Solder Paste")) + (layer "F.Mask" (type "Top Solder Mask") (thickness 0.01)) + (layer "F.Cu" (type "copper") (thickness 0.035)) + (layer "dielectric 1" (type "core") (thickness 1.51) (material "FR4") (epsilon_r 4.5) (loss_tangent 0.02)) + (layer "B.Cu" (type "copper") (thickness 0.035)) + (layer "B.Mask" (type "Bottom Solder Mask") (thickness 0.01)) + (layer "B.Paste" (type "Bottom Solder Paste")) + (layer "B.SilkS" (type "Bottom Silk Screen")) + (copper_finish "None") + (dielectric_constraints no) + ) + (pad_to_mask_clearance 0) + (pcbplotparams + (layerselection 0x00010fc_ffffffff) + (plot_on_all_layers_selection 0x0000000_00000000) + (disableapertmacros false) + (usegerberextensions false) + (usegerberattributes true) + (usegerberadvancedattributes true) + (creategerberjobfile true) + (dashed_line_dash_ratio 12.000000) + (dashed_line_gap_ratio 3.000000) + (svgprecision 4) + (plotframeref false) + (viasonmask false) + (mode 1) + (useauxorigin false) + (hpglpennumber 1) + (hpglpenspeed 20) + (hpglpendiameter 15.000000) + (dxfpolygonmode true) + (dxfimperialunits true) + (dxfusepcbnewfont true) + (psnegative false) + (psa4output false) + (plotreference true) + (plotvalue true) + (plotinvisibletext false) + (sketchpadsonfab false) + (subtractmaskfromsilk false) + (outputformat 1) + (mirror false) + (drillshape 1) + (scaleselection 1) + (outputdirectory "") + ) + ) + + (net 0 "") + (net 1 "+BATT") + (net 2 "GND") + (net 3 "Net-(U1-3.3V)") + (net 4 "Net-(U3-VCC)") + (net 5 "+3V3") + (net 6 "Net-(D1-K)") + (net 7 "Net-(J3-In)") + (net 8 "Net-(C6-Pad1)") + (net 9 "/GATE_SUPPLY") + (net 10 "/PIN_TX") + (net 11 "Net-(C9-Pad2)") + (net 12 "Net-(C10-Pad1)") + (net 13 "Net-(D1-A)") + (net 14 "Net-(C12-Pad1)") + (net 15 "Net-(C13-Pad2)") + (net 16 "Net-(C14-Pad1)") + (net 17 "Net-(Q1-G)") + (net 18 "Net-(J5-Pin_2)") + (net 19 "Net-(Q1-D)") + (net 20 "Net-(D4-A)") + (net 21 "Net-(C22-Pad1)") + (net 22 "Net-(C23-Pad1)") + (net 23 "Net-(D5-A)") + (net 24 "Net-(C26-Pad1)") + (net 25 "/PIN_RX") + (net 26 "Net-(U5-VDDA)") + (net 27 "unconnected-(D1-NC-Pad2)") + (net 28 "Net-(D2-A)") + (net 29 "unconnected-(D2-NC-Pad2)") + (net 30 "Net-(D3-A)") + (net 31 "unconnected-(D4-NC-Pad2)") + (net 32 "Net-(D4-K)") + (net 33 "unconnected-(D5-NC-Pad2)") + (net 34 "Net-(D5-K)") + (net 35 "Net-(D6-A)") + (net 36 "unconnected-(D6-NC-Pad2)") + (net 37 "/Control/LED_TXn") + (net 38 "Net-(D7-A)") + (net 39 "/Control/STATUSn") + (net 40 "Net-(D8-A)") + (net 41 "/BAT+") + (net 42 "/EN_RX") + (net 43 "unconnected-(J9-VBUS-Pad1)") + (net 44 "/Control/USB_DM") + (net 45 "/Control/USB_DP") + (net 46 "unconnected-(J9-ID-Pad4)") + (net 47 "/Control/SWCLK") + (net 48 "/Control/SWDIO") + (net 49 "/Control/RESETn") + (net 50 "Net-(J11-DAT2)") + (net 51 "/Control/SD_SSn") + (net 52 "/Control/SPI1_MOSI") + (net 53 "/Control/SPI1_SCK") + (net 54 "/Control/SPI1_MISO") + (net 55 "Net-(J11-DAT1)") + (net 56 "unconnected-(J11-DET_B-Pad9)") + (net 57 "/Control/SD_DET") + (net 58 "Net-(J4-In)") + (net 59 "Net-(L8-Pad2)") + (net 60 "Net-(L11-Pad2)") + (net 61 "Net-(Q2-B)") + (net 62 "Net-(Q2-C)") + (net 63 "/EN_PA") + (net 64 "Net-(Q3B-G)") + (net 65 "Net-(Q4B-G)") + (net 66 "Net-(Q4B-D-Pad5)") + (net 67 "/Control/BAT_MEAS") + (net 68 "Net-(U3-TXD{slash}SPI_MISO)") + (net 69 "/Control/USART1_RX") + (net 70 "Net-(U3-RXD{slash}SPI_MOSI)") + (net 71 "/Control/USART1_TX") + (net 72 "Net-(U3-SDA{slash}~{SPI_CS})") + (net 73 "/Control/SDA") + (net 74 "Net-(U3-SCL{slash}SPI_CLK)") + (net 75 "/Control/SCL") + (net 76 "Net-(U3-VCC_RF)") + (net 77 "Net-(R9-Pad1)") + (net 78 "Net-(U4-VO)") + (net 79 "Net-(R10-Pad2)") + (net 80 "/Control/BTN1n") + (net 81 "/Control/BTN2n") + (net 82 "/Control/BTN3n") + (net 83 "/Control/XTAL1") + (net 84 "/Control/XTAL2") + (net 85 "Net-(U5-PA11)") + (net 86 "Net-(U5-PA12)") + (net 87 "/Control/BOOT0") + (net 88 "/Control/BOOT1") + (net 89 "unconnected-(U3-~{SAFEBOOT}-Pad1)") + (net 90 "unconnected-(U3-D_SEL-Pad2)") + (net 91 "unconnected-(U3-TIMEPULSE-Pad3)") + (net 92 "unconnected-(U3-EXTINT-Pad4)") + (net 93 "unconnected-(U3-USB_DM-Pad5)") + (net 94 "unconnected-(U3-USB_DP-Pad6)") + (net 95 "unconnected-(U3-~{RESET}-Pad8)") + (net 96 "unconnected-(U3-LNA_EN-Pad14)") + (net 97 "unconnected-(U3-RESERVED-Pad15)") + (net 98 "unconnected-(U3-RESERVED-Pad16)") + (net 99 "unconnected-(U3-RESERVED-Pad17)") + (net 100 "unconnected-(U5-VBAT-Pad1)") + (net 101 "unconnected-(U5-PA1-Pad11)") + (net 102 "/Control/SPI1_SSn") + (net 103 "/Control/PB0") + (net 104 "/Control/PB1") + (net 105 "/Control/DIO0") + (net 106 "/Control/LORA_RESET") + (net 107 "Net-(SW4-D0)") + (net 108 "Net-(SW4-D1)") + (net 109 "Net-(SW4-D2)") + (net 110 "Net-(SW4-D3)") + (net 111 "unconnected-(U5-PA8-Pad29)") + (net 112 "unconnected-(U5-PA15-Pad38)") + (net 113 "unconnected-(U5-PB5-Pad41)") + (net 114 "unconnected-(U1-DIO5-Pad7)") + (net 115 "unconnected-(U1-DIO3-Pad11)") + (net 116 "unconnected-(U1-DIO4-Pad12)") + (net 117 "unconnected-(U1-DIO1-Pad15)") + (net 118 "unconnected-(U1-DIO2-Pad16)") + (net 119 "Net-(L15-Pad2)") + + (footprint "Package_TO_SOT_SMD:SOT-23" (layer "F.Cu") + (tstamp 002ab853-cbcc-4738-b5ac-0d57c0d1dad1) + (at 154.178 163.068) + (descr "SOT, 3 Pin (https://www.jedec.org/system/files/docs/to-236h.pdf variant AB), generated with kicad-footprint-generator ipc_gullwing_generator.py") + (tags "SOT TO_SOT_SMD") + (property "MPN" "MMBT3904,215") + (property "Need_order" "0") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "0.2A Ic, 40V Vce, Small Signal NPN Transistor, SOT-23") + (property "ki_keywords" "NPN Transistor") + (path "/25b17edb-6868-4fcb-9281-a97041e72180") + (attr smd) + (fp_text reference "Q2" (at 0 -2.4 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp 4212e973-75e5-4652-b327-2a123288a1a6) + ) + (fp_text value "MMBT3904" (at 0 2.4) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 6bcee4d8-74f9-4d68-95a6-5b0b69236436) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.32 0.32) (thickness 0.05))) + (tstamp 95c38530-1194-4f51-b1b5-049ec4b27f08) + ) + (fp_line (start 0 -1.56) (end -1.675 -1.56) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 63e67b96-bda6-4408-a3a0-fcb30553e302)) + (fp_line (start 0 -1.56) (end 0.65 -1.56) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e70be504-3209-42e9-8824-a275da75372c)) + (fp_line (start 0 1.56) (end -0.65 1.56) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3fcec6b9-fa73-4b47-a37f-a41ef4a7390f)) + (fp_line (start 0 1.56) (end 0.65 1.56) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c922f883-fcd4-473d-83c4-5d425f2ac0b8)) + (fp_line (start -1.92 -1.7) (end -1.92 1.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c1b3ef98-c415-4187-a592-31074e156952)) + (fp_line (start -1.92 1.7) (end 1.92 1.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7de2e240-ffa6-4fa1-8b82-8f639acb70d9)) + (fp_line (start 1.92 -1.7) (end -1.92 -1.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5315b35a-6398-49f3-9b0d-0bd7d60a61e3)) + (fp_line (start 1.92 1.7) (end 1.92 -1.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 274c51f6-727e-44ac-b7e1-de77f47b893a)) + (fp_line (start -0.65 -1.125) (end -0.325 -1.45) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0972d182-8f39-46e7-bdd7-e268b4142dda)) + (fp_line (start -0.65 1.45) (end -0.65 -1.125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7f17a29f-729e-47da-ae57-354964340a65)) + (fp_line (start -0.325 -1.45) (end 0.65 -1.45) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 597cfc96-9925-46ef-82c8-142e0e7cb20c)) + (fp_line (start 0.65 -1.45) (end 0.65 1.45) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ff81bc8d-ed2d-438f-91d7-ffd064e3c98f)) + (fp_line (start 0.65 1.45) (end -0.65 1.45) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9debb4e0-f71e-4988-ac8d-6c40a642dd1e)) + (pad "1" smd roundrect (at -0.9375 -0.95) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 61 "Net-(Q2-B)") (pinfunction "B") (pintype "input") (tstamp ccd42e74-3332-473a-a9a7-009b15ba1426)) + (pad "2" smd roundrect (at -0.9375 0.95) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "E") (pintype "passive") (tstamp f0a7df7c-7d39-498f-a6d3-9a5e09794b03)) + (pad "3" smd roundrect (at 0.9375 0) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 62 "Net-(Q2-C)") (pinfunction "C") (pintype "passive") (tstamp 90e80d40-8a0b-469a-9c75-585452f37414)) + (model "${KICAD6_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/SOT-23.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "F.Cu") + (tstamp 055479fd-aed6-4945-a606-95e50c2beacf) + (at 95.1465 136.144 180) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Need_order" "0") + (property "Sheetfile" "control.kicad_sch") + (property "Sheetname" "Control") + (property "ki_description" "Resistor") + (property "ki_keywords" "R res resistor") + (path "/717d30dc-b4e1-489a-b7b3-52f4b7c65672/96a2d226-579c-469b-ae76-5989bdd64a8f") + (attr smd) + (fp_text reference "R27" (at 0 -1.43 180 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp 74a8bfbc-ed19-4409-8478-9065aa3bea73) + ) + (fp_text value "510" (at 0 1.43) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 8e6077ae-b442-4142-bd38-a401da3b1056) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp a9ac260f-4f02-406a-8404-1b4bf418b56b) + ) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e357bbed-f96f-4dfd-812f-8d90e076978e)) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 865b8fb1-42a2-4b7a-a3be-20e1fd21a5df)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 001da040-8734-4fc6-a12d-e63b71649872)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4b4bab2e-e65d-4c13-a693-45bbe60e1acf)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4aea9b0e-631d-49c9-8b87-475b0aac4b1d)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 643c5dbe-a842-43e5-89e4-5943c0ff85ef)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5ed1080c-e33d-49df-96f1-190683d0af14)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b2f76b01-5041-4226-a816-e95d7d4a09cf)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f7db6ccb-8bb1-4ecf-a94c-72d82ac21fb3)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b286b021-aa24-4f72-ab84-aa314422cd3f)) + (pad "1" smd roundrect (at -0.9125 0 180) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 5 "+3V3") (pintype "passive") (tstamp 5c972927-dead-444e-9a67-de4c03c020c5)) + (pad "2" smd roundrect (at 0.9125 0 180) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 40 "Net-(D8-A)") (pintype "passive") (tstamp 9a07226d-9ac4-4b13-9b4f-94f9bb23af6c)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" (layer "F.Cu") + (tstamp 05dfc5b8-f80e-4dcd-b623-155147e7d2aa) + (at 173.482 140.462 90) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "MPN" "") + (property "Need_order" "") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/f71a3962-1705-4658-9283-cf860ab3426a") + (attr smd) + (fp_text reference "C24" (at 0 -1.68 90 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp 15c8f69d-8daa-4793-9f30-17b40c9a2f86) + ) + (fp_text value "100pF" (at 0 1.68 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp b71a98b3-bb4d-4c6b-a473-e2689a34893b) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.08))) + (tstamp 26083031-5276-4d43-8d25-8090db414629) + ) + (fp_line (start -0.261252 -0.735) (end 0.261252 -0.735) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9d018289-b9e0-49bf-9cd6-cb65f3fb62e2)) + (fp_line (start -0.261252 0.735) (end 0.261252 0.735) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ab0cccf9-87d2-4450-a6c6-c30442a1e38a)) + (fp_line (start -1.88 -0.98) (end 1.88 -0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4280705c-b5c3-4f26-afc5-095e8317a60a)) + (fp_line (start -1.88 0.98) (end -1.88 -0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 45cc03b4-859c-45c0-889b-be34cdc25543)) + (fp_line (start 1.88 -0.98) (end 1.88 0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e0448386-bdfc-49c3-8e5c-670b506962a0)) + (fp_line (start 1.88 0.98) (end -1.88 0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 32999e82-8936-4399-9523-ae8fe315d30e)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c7b098a5-11bc-4abd-80d6-2391863c0d48)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f5f55282-37d3-445f-a879-2692cdaae903)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 38263e5e-8144-42a8-961f-68007aa38d46)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f279c17b-a02b-4b43-976d-04df1b9dfdd0)) + (pad "1" smd roundrect (at -1.0375 0 90) (size 1.175 1.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.212766) + (net 23 "Net-(D5-A)") (pintype "passive") (tstamp 9c7b5ce4-4692-48c2-b01c-9e3ddba5070d)) + (pad "2" smd roundrect (at 1.0375 0 90) (size 1.175 1.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.212766) + (net 22 "Net-(C23-Pad1)") (pintype "passive") (tstamp 25c5b658-930b-4f3e-9857-e5ae5f4805b2)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" (layer "F.Cu") + (tstamp 09b97e6d-e231-4d59-b61b-02a7ae1ccbe5) + (at 123.698 103.1025 180) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "MPN" "08055C105JAT2A") + (property "Need_order" "0") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/c26bc4d0-85e8-44da-a4f1-f60f8977a63d") + (attr smd) + (fp_text reference "C2" (at 0 -1.68 180 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp b1f55350-eeae-4d18-89ce-8eec5e3696ac) + ) + (fp_text value "1uF" (at 0 1.68) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp de573a65-0f42-47ae-8771-8338dc3a7c71) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.08))) + (tstamp 180e7f3b-1d58-452e-92ac-d58735e352c4) + ) + (fp_line (start -0.261252 -0.735) (end 0.261252 -0.735) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5949d490-c680-4ba4-82fc-02d44cbfc9bb)) + (fp_line (start -0.261252 0.735) (end 0.261252 0.735) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b99ed370-2d19-4b08-b810-d289f67eee4f)) + (fp_line (start -1.88 -0.98) (end 1.88 -0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ed315a3a-3ff4-43b0-a477-400fc8937b17)) + (fp_line (start -1.88 0.98) (end -1.88 -0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d54f8879-9fac-43d9-a5f5-1017f782fa85)) + (fp_line (start 1.88 -0.98) (end 1.88 0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4ddcddfe-d1b9-4e19-8ea2-c8bab9ebe3dc)) + (fp_line (start 1.88 0.98) (end -1.88 0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 394836fd-c535-4d29-8099-edced91a8295)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp dbe08c32-0d3c-4134-8408-2960f7dd4717)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2610ddc5-2af8-4868-aecb-9529d9611fc1)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6c5e01bf-2374-484f-8a83-bd295379b977)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp be88bbe5-44a4-4980-bf06-eb41b018b060)) + (pad "1" smd roundrect (at -1.0375 0 180) (size 1.175 1.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.212766) + (net 2 "GND") (pintype "passive") (tstamp 7290d48d-0ca9-485d-b04b-9e4e3d2535a8)) + (pad "2" smd roundrect (at 1.0375 0 180) (size 1.175 1.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.212766) + (net 3 "Net-(U1-3.3V)") (pintype "passive") (tstamp dfa257d7-b4d1-4510-8da3-d16b107c1f0a)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" (layer "F.Cu") + (tstamp 0ed58c43-2816-403f-9c17-0da942e979d1) + (at 116.078 135.382) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "MPN" "VJ0805A103KXJTBC") + (property "Need_order" "0") + (property "Sheetfile" "control.kicad_sch") + (property "Sheetname" "Control") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/717d30dc-b4e1-489a-b7b3-52f4b7c65672/6b8cd293-dfef-466c-8ca4-88233d9fe50f") + (attr smd) + (fp_text reference "C32" (at 0 -1.68 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp 8a0aea71-a276-494d-b0ad-c0d8606142bc) + ) + (fp_text value "10nF" (at 0 1.68) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 4d0dfd41-4567-4303-9524-0bca8db83d5f) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.08))) + (tstamp 41e80de6-67b3-4861-bf4a-05c25cf64923) + ) + (fp_line (start -0.261252 -0.735) (end 0.261252 -0.735) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6414e2d2-45d1-4664-b45b-2a54890fa587)) + (fp_line (start -0.261252 0.735) (end 0.261252 0.735) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2f7518ed-9bc6-4fef-ae88-4c8ffebbbdf5)) + (fp_line (start -1.88 -0.98) (end 1.88 -0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 08889082-c30a-4e1d-9fe8-d60a657960f7)) + (fp_line (start -1.88 0.98) (end -1.88 -0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 329c6fab-9f3e-45c8-bfbd-c2700777e935)) + (fp_line (start 1.88 -0.98) (end 1.88 0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 73f8027b-2f2c-483d-abfc-67eeabe4d335)) + (fp_line (start 1.88 0.98) (end -1.88 0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c2405ea9-bc60-45a3-9908-7040afb2ba54)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 86fb2ef8-c5aa-400c-a393-686f15bb3c49)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f4462163-b69a-432a-8e82-00aaf3b0f74b)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7033db7b-bd47-43a1-8fa7-31f831c2c72f)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 31e126ec-109c-4c8c-b477-ab0b1864f559)) + (pad "1" smd roundrect (at -1.0375 0) (size 1.175 1.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.212766) + (net 2 "GND") (pintype "passive") (tstamp 0cdae7be-8552-44aa-8247-298d9e3cbbc3)) + (pad "2" smd roundrect (at 1.0375 0) (size 1.175 1.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.212766) + (net 26 "Net-(U5-VDDA)") (pintype "passive") (tstamp 96c271cd-ef0a-4064-896f-f3d26792d67b)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Package_TO_SOT_SMD:SOT-223-3_TabPin2" (layer "F.Cu") + (tstamp 0ef8a1c1-f674-48d3-82e6-700fdd4184fa) + (at 144.272 89.408 180) + (descr "module CMS SOT223 4 pins") + (tags "CMS SOT") + (property "MPN" "LD1117S33CTR") + (property "Need_order" "0") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "800mA Fixed Low Drop Positive Voltage Regulator, Fixed Output 3.3V, SOT-223") + (property "ki_keywords" "REGULATOR LDO 3.3V") + (path "/12ecb52b-a1ae-4653-90e2-fac680c6c886") + (attr smd) + (fp_text reference "U4" (at 0 -4.5 180 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp 73d00dfb-1cfd-461d-badc-9c556de3a346) + ) + (fp_text value "LD1117S33" (at 0 4.5) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 9fabca40-3fd7-495c-a041-054923b96893) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 838dc923-2d80-478d-a8a2-16aa19635cda) + ) + (fp_line (start -4.1 -3.41) (end 1.91 -3.41) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8d1331e4-2f7c-4e75-bbf0-8dea18b363c2)) + (fp_line (start -1.85 3.41) (end 1.91 3.41) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5fdd1ef6-1533-4f92-80bc-6705e2cd2f32)) + (fp_line (start 1.91 -3.41) (end 1.91 -2.15) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fcf736b4-901e-40d5-aced-ae254eeb18a0)) + (fp_line (start 1.91 3.41) (end 1.91 2.15) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9ecb3b9b-363e-4a3e-a6f9-400329e47331)) + (fp_line (start -4.4 -3.6) (end -4.4 3.6) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3a8fc67a-1b75-4d09-8fe3-535c7feb1b6e)) + (fp_line (start -4.4 3.6) (end 4.4 3.6) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 72abf33d-34fb-478b-b598-cd9540fce5ae)) + (fp_line (start 4.4 -3.6) (end -4.4 -3.6) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5b36ef97-fb66-4ec9-a42a-2f6fac499ccf)) + (fp_line (start 4.4 3.6) (end 4.4 -3.6) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7f5217fe-6b9f-46c1-a7d8-af9305d22a8e)) + (fp_line (start -1.85 -2.35) (end -1.85 3.35) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp aa246db8-cc67-4ac5-8679-f667d43ade2f)) + (fp_line (start -1.85 -2.35) (end -0.85 -3.35) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0b4e4fc4-e183-4c62-a7b6-7cc1eb36db7c)) + (fp_line (start -1.85 3.35) (end 1.85 3.35) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 65897560-ec88-4c74-847e-fe21876e7faa)) + (fp_line (start -0.85 -3.35) (end 1.85 -3.35) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6c63e13b-89ac-45d2-8c4c-7654914445bd)) + (fp_line (start 1.85 -3.35) (end 1.85 3.35) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 862f3fc3-f483-4be4-84cb-e9a7cc8f9849)) + (pad "1" smd rect (at -3.15 -2.3 180) (size 2 1.5) (layers "F.Cu" "F.Paste" "F.Mask") + (net 30 "Net-(D3-A)") (pinfunction "GND") (pintype "power_in") (tstamp acf99885-3f40-40e6-877f-89018020190a)) + (pad "2" smd rect (at -3.15 0 180) (size 2 1.5) (layers "F.Cu" "F.Paste" "F.Mask") + (net 78 "Net-(U4-VO)") (pinfunction "VO") (pintype "power_out") (tstamp e14d2664-2a18-4f1c-b2e4-89885f93af21)) + (pad "2" smd rect (at 3.15 0 180) (size 2 3.8) (layers "F.Cu" "F.Paste" "F.Mask") + (net 78 "Net-(U4-VO)") (pinfunction "VO") (pintype "power_out") (tstamp 1f748271-e440-447a-9f06-573fbeb8c166)) + (pad "3" smd rect (at -3.15 2.3 180) (size 2 1.5) (layers "F.Cu" "F.Paste" "F.Mask") + (net 9 "/GATE_SUPPLY") (pinfunction "VI") (pintype "power_in") (tstamp 405a0dcf-3ccc-497e-a3a2-529c8c3119b9)) + (model "${KICAD6_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/SOT-223.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "F.Cu") + (tstamp 0f2c9ee9-8bfa-44bc-a764-745342e41c03) + (at 144.272 156.21 180) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Need_order" "0") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor") + (property "ki_keywords" "R res resistor") + (path "/d08a9979-4f85-4be9-80e9-7deac65e674f") + (attr smd) + (fp_text reference "R12" (at 0 -1.43 180 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp cb449f07-bd7d-4ceb-9b88-5aa5ddf8f708) + ) + (fp_text value "220" (at 0 1.43) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 65e56b4a-328a-40d7-b624-1d406acd7779) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp c32775bc-b425-4c8f-8110-124d66e328c5) + ) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 464a590b-aae1-416c-8585-6e668c2db589)) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp dcf3c319-c8b4-47e9-878d-cdcad9d6bcb3)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9b8e9677-f16b-4078-b1a3-7d979eb026cc)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp aae7a2e7-eb29-4299-b417-b912ac7852f1)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 89744aaf-7d96-49ab-8e5d-6faca02fcca2)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8c5f2ebf-4899-49fb-bf43-42e94a154d75)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e780279c-d933-447c-9f9e-526bbc4a5b93)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 76c47350-504f-4321-ad46-fb90ca3ac8a7)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2ddbd631-ce0b-4971-85dd-7839ba5bce0f)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp cc625f9a-55f9-479f-bc3e-60417d234ff8)) + (pad "1" smd roundrect (at -0.9125 0 180) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 59 "Net-(L8-Pad2)") (pintype "passive") (tstamp 400b426f-84b3-48e4-85ad-458cea3ce4fb)) + (pad "2" smd roundrect (at 0.9125 0 180) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 5 "+3V3") (pintype "passive") (tstamp 3be833a6-fcca-41ca-afec-a24c36061bbc)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "mpb:Fuse_MF_SMDF150" (layer "F.Cu") + (tstamp 11a6462c-382c-435b-8490-54c606c8d28a) + (at 147.828 111.76 90) + (descr "Fuse SMD Bourns MF-SMDF150") + (tags "fuse") + (property "MPN" "MF-SMDF150-2") + (property "Need_order" "1") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resettable fuse, polymeric positive temperature coefficient") + (property "ki_keywords" "resettable fuse PTC PPTC polyfuse polyswitch") + (path "/18db664f-bace-4c12-8a2f-4dfa5c5f16a9") + (attr smd) + (fp_text reference "F1" (at 0 -3.1 90 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp 6be6dc5a-a25f-465f-8fdf-431df09fe997) + ) + (fp_text value "MF-SMDF150-2" (at 0 3 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp dee087f7-45ab-46da-ba95-33d02cbc6efe) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 6cd5c82a-9a8e-4c25-a39a-681fbbc9f8b3) + ) + (fp_line (start -1.6 -2.19) (end 1.6 -2.19) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ed9b30ac-558a-4900-90da-8e2ba82f96fe)) + (fp_line (start -1.5 2.2) (end 1.5 2.2) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a7a837c5-af36-4ed4-8ed7-d6937ba6875b)) + (fp_line (start -4 -2.4) (end 4 -2.4) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d70bb205-ccdc-4c6a-8b9b-b32c1fab2cac)) + (fp_line (start -4 2.41) (end -4 -2.4) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 73c1b913-5c7b-456f-8f2c-bd21882ccd0c)) + (fp_line (start 4 -2.4) (end 4 2.41) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b79fa61d-face-4675-9f0b-31dab59a8f44)) + (fp_line (start 4 2.41) (end -4 2.41) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3d2f42af-9b31-4a4a-afca-165c0fac79c5)) + (fp_line (start -3.8 -2.08) (end 3.8 -2.08) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5a20c2c3-6e74-4f18-817e-cf47ab8fc1ab)) + (fp_line (start -3.8 2.1) (end -3.8 -2.08) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a6a3c4b3-2dec-4d7f-80cb-0ccfd8ef7e5f)) + (fp_line (start 3.8 -2.08) (end 3.8 2.1) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 71565512-f670-49b0-b3ca-0e6692e59ed2)) + (fp_line (start 3.8 2.1) (end -3.8 2.1) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 39865b13-2264-4658-bd10-2056b750c002)) + (pad "1" smd roundrect (at -2.6 0 90) (size 1.8 4.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.163934) + (net 41 "/BAT+") (pintype "passive") (tstamp 3666a3f4-97b2-4ceb-8f35-f8c95f8deb6d)) + (pad "2" smd roundrect (at 2.6 0 90) (size 1.8 4.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.163934) + (net 1 "+BATT") (pintype "passive") (tstamp 94e32d7f-a37f-4505-bccb-8139a6bb1d8c)) + (model "${KICAD6_3DMODEL_DIR}/Fuse.3dshapes/Fuse_2512_6332Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Package_TO_SOT_SMD:SOT-23_Handsoldering" (layer "F.Cu") + (tstamp 15e86671-da62-45a1-8fe0-3fb178faa54c) + (at 134.874 110.4685 90) + (descr "SOT-23, Handsoldering") + (tags "SOT-23") + (property "MPN" "BAT18") + (property "Need_order" "0") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Band Switching Diode") + (property "ki_keywords" "diode") + (path "/3e173e89-516a-4e57-83eb-b9061b7a89de") + (attr smd) + (fp_text reference "D2" (at 0 -2.5 90 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp f69d5233-d557-4637-8d25-2d3106ccf64d) + ) + (fp_text value "BAT18" (at 0 2.5 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 82116ebf-0881-401e-a672-7ccbd4476a15) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.075))) + (tstamp 966369e6-dade-44ab-9aff-80367e7cd860) + ) + (fp_line (start 0.76 -1.58) (end -2.4 -1.58) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 438229c0-0d9a-4619-8665-c17c3359fbe6)) + (fp_line (start 0.76 -1.58) (end 0.76 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 484b571e-6910-4ae4-a42b-e5dc43786a16)) + (fp_line (start 0.76 1.58) (end -0.7 1.58) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f2917bcd-c013-4e5f-baef-b36ccea76924)) + (fp_line (start 0.76 1.58) (end 0.76 0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e693298e-ffd3-459a-9914-065cb8df1737)) + (fp_line (start -2.7 -1.75) (end 2.7 -1.75) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b11045ca-54b2-4684-89d9-82bf3f3627e1)) + (fp_line (start -2.7 1.75) (end -2.7 -1.75) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp aee8f976-de1d-4e53-b01e-7fc42968e934)) + (fp_line (start 2.7 -1.75) (end 2.7 1.75) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 95410283-3358-46e8-a1c6-698557a42d74)) + (fp_line (start 2.7 1.75) (end -2.7 1.75) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 06fd30eb-23c8-4b7c-a8e7-b55cfd9eec9b)) + (fp_line (start -0.7 -0.95) (end -0.7 1.5) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 05af5b03-5040-425c-b515-3be081767db6)) + (fp_line (start -0.7 -0.95) (end -0.15 -1.52) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 68847176-f184-4c8f-9b35-ba5521b7bdf0)) + (fp_line (start -0.7 1.52) (end 0.7 1.52) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 620df499-98f7-499f-99e4-138044835477)) + (fp_line (start -0.15 -1.52) (end 0.7 -1.52) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5f51c839-3859-48b4-8200-d1932128a6aa)) + (fp_line (start 0.7 -1.52) (end 0.7 1.52) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 281bcb6f-1cc7-45fb-be92-7a3e2fca38e0)) + (pad "1" smd rect (at -1.5 -0.95 90) (size 1.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask") + (net 28 "Net-(D2-A)") (pinfunction "A") (pintype "passive") (tstamp d3058149-e90d-4db0-8be8-4f0c858d89f5)) + (pad "2" smd rect (at -1.5 0.95 90) (size 1.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask") + (net 29 "unconnected-(D2-NC-Pad2)") (pinfunction "NC") (pintype "no_connect") (tstamp dcf8f5be-5622-4e53-9a3a-a8b66081b8a5)) + (pad "3" smd rect (at 1.5 0 90) (size 1.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask") + (net 6 "Net-(D1-K)") (pinfunction "K") (pintype "passive") (tstamp 1ec7cfb4-6b1e-41fd-a3be-f2a8d3d7ba31)) + (model "${KICAD6_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/SOT-23.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Package_TO_SOT_SMD:SOT-23_Handsoldering" (layer "F.Cu") + (tstamp 16bb1cbf-9ed1-4f0e-9897-82072c23fde1) + (at 165.354 152.4) + (descr "SOT-23, Handsoldering") + (tags "SOT-23") + (property "MPN" "BAT18") + (property "Need_order" "0") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Band Switching Diode") + (property "ki_keywords" "diode") + (path "/7cf29cdb-2b11-4998-b95d-bb5c6a65fe39") + (attr smd) + (fp_text reference "D6" (at 0 -2.5 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp 1aa5ca4b-8dbf-4303-ad2f-68b553fe44a9) + ) + (fp_text value "BAT18" (at 0 2.5) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 64017352-fc4e-4b41-b80a-b800d107384d) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.075))) + (tstamp 9b455352-03d8-4026-ac03-3c307575452f) + ) + (fp_line (start 0.76 -1.58) (end -2.4 -1.58) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7c8793c5-222e-4bcf-814f-84230e67c341)) + (fp_line (start 0.76 -1.58) (end 0.76 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9a9f956d-2ce6-4858-aa4c-ed57cc4ebc58)) + (fp_line (start 0.76 1.58) (end -0.7 1.58) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 603fadd9-aad2-4a51-af5c-1e14574bfd00)) + (fp_line (start 0.76 1.58) (end 0.76 0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f347fabc-1817-4a3b-80e4-c9ef549040aa)) + (fp_line (start -2.7 -1.75) (end 2.7 -1.75) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6c95c7b6-2079-427d-98f1-c6f7176c6a0e)) + (fp_line (start -2.7 1.75) (end -2.7 -1.75) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1614fb69-62e0-4bbd-ad1d-671063506d32)) + (fp_line (start 2.7 -1.75) (end 2.7 1.75) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b19cc4f5-eeeb-49d0-85e6-ec701fdcdad6)) + (fp_line (start 2.7 1.75) (end -2.7 1.75) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6c8af22b-e7de-4536-a2aa-c9f9f254bc7a)) + (fp_line (start -0.7 -0.95) (end -0.7 1.5) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b95bfb5a-9bb4-47ef-b0e0-f640eb054061)) + (fp_line (start -0.7 -0.95) (end -0.15 -1.52) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8f24592b-0f6f-49ed-947d-a6621644fb30)) + (fp_line (start -0.7 1.52) (end 0.7 1.52) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0536b624-0118-450a-a157-bc3ad71b12ed)) + (fp_line (start -0.15 -1.52) (end 0.7 -1.52) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp bb2aa4b5-5846-417d-bcdf-2407003bc29b)) + (fp_line (start 0.7 -1.52) (end 0.7 1.52) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ecefa356-5f39-431f-bd6e-0198e6e222ac)) + (pad "1" smd rect (at -1.5 -0.95) (size 1.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask") + (net 35 "Net-(D6-A)") (pinfunction "A") (pintype "passive") (tstamp e33924c6-d5c4-4b00-be2c-cdc2c793bebb)) + (pad "2" smd rect (at -1.5 0.95) (size 1.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask") + (net 36 "unconnected-(D6-NC-Pad2)") (pinfunction "NC") (pintype "no_connect") (tstamp 2f052ce7-4cba-4d8c-8bbd-3b71d54f4ceb)) + (pad "3" smd rect (at 1.5 0) (size 1.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask") + (net 34 "Net-(D5-K)") (pinfunction "K") (pintype "passive") (tstamp fd788bb8-f72b-48ce-8f57-777dc864126e)) + (model "${KICAD6_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/SOT-23.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "F.Cu") + (tstamp 1784bc04-7db2-47a5-873b-e7dd12b8724c) + (at 120.0385 131.064 180) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Need_order" "0") + (property "Sheetfile" "control.kicad_sch") + (property "Sheetname" "Control") + (property "ki_description" "Resistor") + (property "ki_keywords" "R res resistor") + (path "/717d30dc-b4e1-489a-b7b3-52f4b7c65672/8fb9a188-0636-4875-aa2f-5d4e714b315c") + (attr smd) + (fp_text reference "R34" (at 0 -1.43 180 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp 51499939-016a-41af-9b75-cc8692505e9f) + ) + (fp_text value "1M" (at 0 1.43) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 94eaf6e0-9ea3-48fd-ae05-7d59cd19cbe7) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp b4657a37-88d2-4900-9f6b-a538b2cda228) + ) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cfad9157-5a6b-4c9f-adf5-2e75d2bb4bd1)) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0bf10f4f-a4ea-464b-afd9-24d88eab97d9)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 76b836cd-4935-4e33-b1fa-5b9f3f7e6cec)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1ad36d7f-f8fe-4cc2-b11c-06c642a13780)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp aeb90698-2352-4f6c-9d0b-a86a2e0c050c)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 78278840-3ab9-47dd-9ed1-6b3a88a94fd5)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9954e5bc-179a-4b94-a600-03d623e273de)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b37d7730-5de6-4cf6-89e1-3de7cddd25e4)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a7ea4165-417b-476e-830c-cf755b259088)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 476320a7-b363-44f9-9ec6-34ccbea0d108)) + (pad "1" smd roundrect (at -0.9125 0 180) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 83 "/Control/XTAL1") (pintype "passive") (tstamp 33a387f5-8d31-46b3-a432-ab19a6ea9e17)) + (pad "2" smd roundrect (at 0.9125 0 180) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 84 "/Control/XTAL2") (pintype "passive") (tstamp c39007e7-5f17-4754-8ca8-318d72926ab8)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "F.Cu") + (tstamp 2532d9f4-faa8-4dc6-85d2-5a55a8cd70bc) + (at 124.714 132.588) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Need_order" "0") + (property "Sheetfile" "control.kicad_sch") + (property "Sheetname" "Control") + (property "ki_description" "Resistor") + (property "ki_keywords" "R res resistor") + (path "/717d30dc-b4e1-489a-b7b3-52f4b7c65672/6912cd63-d296-4732-a1a5-4cfdbc157c4c") + (attr smd) + (fp_text reference "R32" (at 0 -1.43 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp 8393c41e-d6a2-4e71-8914-9ba1e53ab5a9) + ) + (fp_text value "4.7k" (at 0 1.43) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp e9c9bc41-3a60-43ff-8f01-ee46c507b120) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 0b7ffb04-7f5a-424d-831c-108314671a28) + ) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3b8d3ada-0d23-4e6f-a5fd-8626fc53f5c1)) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 78231350-217b-454c-97d7-a4f073c3997a)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7a2d3074-21e6-4ce1-b344-dc8fb381e4c0)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b54a7d55-2f4f-4f5e-a9c9-72168e251cc8)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7fd58775-3ab8-478b-9398-599a273b9e85)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp af420fc8-163f-4bd5-8c69-95b9b74ff704)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 36319c6e-c6ad-4cd6-8a0f-cbca907c883c)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp cf4b316b-8703-4f0c-8a59-37a5893ee2e5)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4237d94c-f7a6-45f1-aa55-0a88fa0e0ab3)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 29069c26-72fc-4869-8a97-728f98051d72)) + (pad "1" smd roundrect (at -0.9125 0) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 5 "+3V3") (pintype "passive") (tstamp 81a8db3e-4ab6-44a0-a30e-162a92ff0b21)) + (pad "2" smd roundrect (at 0.9125 0) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 75 "/Control/SCL") (pintype "passive") (tstamp 84fb03a4-7ddf-4680-9abd-a9fac59c22a3)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Inductor_SMD:L_0805_2012Metric_Pad1.05x1.20mm_HandSolder" (layer "F.Cu") + (tstamp 260fd614-dc91-43a2-9eae-31d5716af3a4) + (at 168.402 141.224 180) + (descr "Inductor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 80, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "inductor handsolder") + (property "MPN" "LQW2BASR18G00L") + (property "Need_order" "0") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Inductor") + (property "ki_keywords" "inductor choke coil reactor magnetic") + (path "/db832ea3-2660-4964-965b-32795396f6e8") + (attr smd) + (fp_text reference "L12" (at 0 -1.55 180 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp e093d291-7b16-4899-88e7-d7127db6e3df) + ) + (fp_text value "180nH" (at 0 1.55) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 74b0c0fd-1360-4ca8-8d25-604e0cd8ae78) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.08))) + (tstamp adbb3bfa-1339-422a-8de9-2b12d2f06172) + ) + (fp_line (start -0.410242 -0.56) (end 0.410242 -0.56) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 03f3ff95-fa09-407b-a85c-1f9b30614841)) + (fp_line (start -0.410242 0.56) (end 0.410242 0.56) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 60caec1a-f83c-434a-90cc-7c0e459822e0)) + (fp_line (start -1.92 -0.85) (end 1.92 -0.85) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp dbe3fcff-a518-491c-b2fc-063b9e578e83)) + (fp_line (start -1.92 0.85) (end -1.92 -0.85) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 06f7c259-6ba0-47d1-9c83-e83184816c9a)) + (fp_line (start 1.92 -0.85) (end 1.92 0.85) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 21dbc3a9-409d-4ee9-b31a-6b74199964c2)) + (fp_line (start 1.92 0.85) (end -1.92 0.85) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 29ece34c-47a0-4175-94e5-fc5f1f5cd208)) + (fp_line (start -1 -0.45) (end 1 -0.45) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 610be4b8-8a83-47e4-931f-f7f3ff128ae9)) + (fp_line (start -1 0.45) (end -1 -0.45) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp aae917fa-39c0-4681-b9b1-9fbedd365e05)) + (fp_line (start 1 -0.45) (end 1 0.45) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 93c463a8-9294-4533-bbd6-390c5b168999)) + (fp_line (start 1 0.45) (end -1 0.45) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d1691502-1f16-43db-b40b-ecdafa0fe05e)) + (pad "1" smd roundrect (at -1.15 0 180) (size 1.05 1.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.238095) + (net 23 "Net-(D5-A)") (pinfunction "1") (pintype "passive") (tstamp 81e276e5-3b00-48b1-bc09-a578253cee9b)) + (pad "2" smd roundrect (at 1.15 0 180) (size 1.05 1.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.238095) + (net 10 "/PIN_TX") (pinfunction "2") (pintype "passive") (tstamp 7070fe9b-af39-462a-89ab-3a636c9f7650)) + (model "${KICAD6_3DMODEL_DIR}/Inductor_SMD.3dshapes/L_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Connector_PinHeader_2.54mm:PinHeader_1x02_P2.54mm_Vertical" (layer "F.Cu") + (tstamp 26f1b884-09da-43a7-b053-d97288a2661b) + (at 147.061 105.41 90) + (descr "Through hole straight pin header, 1x02, 2.54mm pitch, single row") + (tags "Through hole pin header THT 1x02 2.54mm single row") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Generic connector, single row, 01x02, script generated (kicad-library-utils/schlib/autogen/connector/)") + (property "ki_keywords" "connector") + (path "/e02a514c-c6fa-477d-8b2e-4c757c9df9ed") + (attr through_hole) + (fp_text reference "J5" (at 0 -2.33 90 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp 979ab1de-3d88-473a-847a-273aa8447f9c) + ) + (fp_text value "Meas" (at 0 4.87 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 85e30a4b-cf50-4e1c-a28a-6506add3f00b) + ) + (fp_text user "${REFERENCE}" (at 0 1.27) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 1df28954-e66b-4a5a-a2f8-5a311cfbda8a) + ) + (fp_line (start -1.33 -1.33) (end 0 -1.33) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5b79c5ab-ec0d-4c53-9d2c-79bd8b9807d6)) + (fp_line (start -1.33 0) (end -1.33 -1.33) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1ac6f4cf-253c-4d26-9791-7473145f8fd3)) + (fp_line (start -1.33 1.27) (end -1.33 3.87) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 62f32a19-f1d2-497a-a3ca-fbaaa1e7c088)) + (fp_line (start -1.33 1.27) (end 1.33 1.27) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ae037c1b-a00e-43d2-8258-0b10b94e1793)) + (fp_line (start -1.33 3.87) (end 1.33 3.87) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 589c6f20-ce2a-4f3c-926b-78cd01799db7)) + (fp_line (start 1.33 1.27) (end 1.33 3.87) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 70fcd1aa-0088-4836-99f1-0b1a30eea293)) + (fp_line (start -1.8 -1.8) (end -1.8 4.35) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 51dd0024-9db9-43d0-a704-6c15b44d2bd2)) + (fp_line (start -1.8 4.35) (end 1.8 4.35) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f2bb423f-0a89-4531-aa79-771c7c34535c)) + (fp_line (start 1.8 -1.8) (end -1.8 -1.8) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 62ac42a0-3aec-432e-bf8e-39b58096331c)) + (fp_line (start 1.8 4.35) (end 1.8 -1.8) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9daa493a-086a-414b-9dbf-4ece6ddbcbb7)) + (fp_line (start -1.27 -0.635) (end -0.635 -1.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6db6dbdd-2604-47e9-a48f-10d410f831a7)) + (fp_line (start -1.27 3.81) (end -1.27 -0.635) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b710ba00-902e-482b-9ff6-c2c68cf6e8f8)) + (fp_line (start -0.635 -1.27) (end 1.27 -1.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d9694462-da89-4608-9409-60c79bc2446d)) + (fp_line (start 1.27 -1.27) (end 1.27 3.81) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f16650f8-5c6e-4857-91a4-068f030a245f)) + (fp_line (start 1.27 3.81) (end -1.27 3.81) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 528adc93-c987-4b56-87e0-6fe54e9fe16d)) + (pad "1" thru_hole rect (at 0 0 90) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 1 "+BATT") (pinfunction "Pin_1") (pintype "passive") (tstamp 8892e212-66c0-49fc-a959-8d1c10fc7fb6)) + (pad "2" thru_hole oval (at 0 2.54 90) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 18 "Net-(J5-Pin_2)") (pinfunction "Pin_2") (pintype "passive") (tstamp 14d0e92a-fd70-4a87-9077-0c728958629b)) + (model "${KICAD6_3DMODEL_DIR}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_1x02_P2.54mm_Vertical.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Crystal:Resonator_SMD_Murata_CSTxExxV-3Pin_3.0x1.1mm_HandSoldering" (layer "F.Cu") + (tstamp 2771f7e5-dfdc-439a-8635-fb6ebf047cac) + (at 119.9685 133.858 180) + (descr "SMD Resomator/Filter Murata CSTCE, https://www.murata.com/en-eu/products/productdata/8801162264606/SPEC-CSTNE16M0VH3C000R0.pdf") + (tags "SMD SMT ceramic resonator filter") + (property "MPN" "CSTNE16M0V530000R") + (property "Need_order" "0") + (property "Sheetfile" "control.kicad_sch") + (property "Sheetname" "Control") + (property "ki_description" "Three pin ceramic resonator") + (property "ki_keywords" "Ceramic Resonator") + (path "/717d30dc-b4e1-489a-b7b3-52f4b7c65672/f6321726-e218-4caf-aca8-e05be844d4c6") + (attr smd) + (fp_text reference "Y1" (at 0 -2.45 180 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp b0ad6589-3bfe-469d-b37b-80d924a02688) + ) + (fp_text value "CSTNE16M0V530000R0" (at 0 1.8) (layer "F.Fab") + (effects (font (size 0.2 0.2) (thickness 0.03))) + (tstamp 2b09da65-93b6-4c94-9db4-a1964d65b61a) + ) + (fp_text user "${REFERENCE}" (at 0.1 -0.05) (layer "F.Fab") + (effects (font (size 0.6 0.6) (thickness 0.08))) + (tstamp a8f17cac-58fc-46d1-af9c-3603fadcf6d6) + ) + (fp_line (start -2 -1.2) (end -2 0.8) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 805f4715-a043-4770-b717-60cdd951ea84)) + (fp_line (start -2 0.8) (end -2 1.2) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 329a8760-427c-44fa-9f5f-5e79323a7007)) + (fp_line (start -1.8 -1.2) (end -1.65 -1.2) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7e9da94f-74a4-4dfc-8a94-e1a1168967e5)) + (fp_line (start -1.8 0.8) (end -1.8 -1.2) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0061356a-d0fb-438d-b46f-c2f6f2dbaaf0)) + (fp_line (start -1.8 0.8) (end -1.8 1.2) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b5662575-8ca5-4c7a-a780-37531b8203c6)) + (fp_line (start -1.8 1.2) (end -1.65 1.2) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8a7a318e-e6cd-47d3-9dc0-58e928f474f0)) + (fp_line (start -0.75 1.2) (end -0.8 1.2) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 16ff1c74-eb17-4b1d-a892-c61764a1152f)) + (fp_line (start -0.75 1.2) (end -0.75 1.6) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fcb1b8b1-a1b5-49cc-afb1-f5637b41167f)) + (fp_line (start 1.8 -1.2) (end 1.65 -1.2) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp de4c957a-e127-4a74-81ca-2d1c2d35e5c3)) + (fp_line (start 1.8 -1.2) (end 1.8 0.8) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a92649ac-8542-47aa-9d3c-66e1021dc855)) + (fp_line (start 1.8 0.8) (end 1.8 1.2) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a21ab93e-6564-465b-b64c-8c509209058e)) + (fp_line (start 1.8 1.2) (end 1.65 1.2) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ee2fbfc3-d305-49f0-9428-e0ce91a10ef2)) + (fp_line (start -1.75 -1.85) (end 1.75 -1.85) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 678ebcb4-5366-4fe2-997f-74153f3f102c)) + (fp_line (start -1.75 1.85) (end -1.75 -1.85) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f256a579-4007-4a35-87bd-192976cadff0)) + (fp_line (start 1.75 -1.85) (end 1.75 1.85) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 39fc6f47-4f9b-4a74-b67a-d8267d4b3d28)) + (fp_line (start 1.75 1.85) (end -1.75 1.85) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f58f54ec-c11b-4e2d-b906-5a7690bf351e)) + (fp_line (start -1.5 0.3) (end -1.5 -0.8) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4c87440a-e5a9-47b0-906b-c72217df7e29)) + (fp_line (start -1 0.8) (end -1.5 0.3) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp efebacea-2e93-4200-8d09-3cc7a33482cf)) + (fp_line (start -1 0.8) (end 1.5 0.8) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 512de866-0139-4803-bb31-408633dca516)) + (fp_line (start 1.5 -0.8) (end -1.5 -0.8) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 75404f68-4337-4581-857d-8166a04485e5)) + (fp_line (start 1.5 0.8) (end 1.5 -0.8) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e5053e7a-8905-4589-9cb7-2a706d1600d0)) + (pad "1" smd rect (at -1.2 0 180) (size 0.4 3.2) (layers "F.Cu" "F.Paste" "F.Mask") + (net 83 "/Control/XTAL1") (pinfunction "1") (pintype "passive") (tstamp 93e87c21-251d-4d14-b6ce-c403982c8735)) + (pad "2" smd rect (at 0 0 180) (size 0.4 3.2) (layers "F.Cu" "F.Paste" "F.Mask") + (net 2 "GND") (pinfunction "2") (pintype "passive") (tstamp 7614a608-43c5-421d-9fed-ee188eb62fbd)) + (pad "3" smd rect (at 1.2 0 180) (size 0.4 3.2) (layers "F.Cu" "F.Paste" "F.Mask") + (net 84 "/Control/XTAL2") (pinfunction "3") (pintype "passive") (tstamp ab308585-fb9a-4ea7-b220-759b80ed5331)) + (model "${KICAD6_3DMODEL_DIR}/Crystal.3dshapes/Resonator_SMD_Murata_CSTxExxV-3Pin_3.0x1.1mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "F.Cu") + (tstamp 291f07b7-02aa-4b49-ab50-995e1bf8af23) + (at 109.982 159.512 90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Need_order" "0") + (property "Sheetfile" "control.kicad_sch") + (property "Sheetname" "Control") + (property "ki_description" "Resistor") + (property "ki_keywords" "R res resistor") + (path "/717d30dc-b4e1-489a-b7b3-52f4b7c65672/bf1d56c8-1799-4cb4-8b0c-f84dc1a12c60") + (attr smd) + (fp_text reference "R35" (at 0 -1.43 90 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp c9262d2d-165f-4c2c-a864-982fd8f2db0c) + ) + (fp_text value "22" (at 0 1.43 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 741f6a69-7f95-4358-a165-b57b7cc75821) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp fac98415-2ae7-4883-9dc8-142f4e604d92) + ) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 655ede4c-a2ae-49f5-ae91-ce719099e1d5)) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8be44662-1f96-4550-ae93-205573c63746)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c5a026ef-6bb7-4eff-bb0b-4c136b46153b)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ae3eb079-f97b-43b5-9498-f170a35d36ca)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 66f272b3-e992-45d0-9d92-9f8b890e07ed)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f71bf8ee-1080-48f9-a725-0c437c950e79)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d494c508-3bd7-4e34-b0b9-b05accc5d9a7)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a1c169cd-e2b8-40af-a42a-b9ae6123fa5b)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 733bb893-4978-4600-b21d-c1c46c150bfe)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b47789df-26ba-4a6f-802a-9605184d86d8)) + (pad "1" smd roundrect (at -0.9125 0 90) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 44 "/Control/USB_DM") (pintype "passive") (tstamp b3dce497-028a-4a19-a0cc-328974781b70)) + (pad "2" smd roundrect (at 0.9125 0 90) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 85 "Net-(U5-PA11)") (pintype "passive") (tstamp 9793adb8-22ab-4c84-941e-891173c2cad0)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" (layer "F.Cu") + (tstamp 2b004e02-0822-4cbc-a392-2c018983c5ea) + (at 167.132 144.272 -90) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "MPN" "") + (property "Need_order" "") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/7512b972-a7a3-4934-b718-7d80934e3389") + (attr smd) + (fp_text reference "C25" (at 0 -1.68 -90 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp 226c3c2b-8e02-48f2-b0c4-ca6529084992) + ) + (fp_text value "100pF" (at 0 1.68 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 6d67ca7b-64d3-43a3-ad52-908d45769a48) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.08))) + (tstamp f029dd9e-d8ea-4c96-ac20-92bc1eb76564) + ) + (fp_line (start -0.261252 -0.735) (end 0.261252 -0.735) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 01f2809f-e5ef-407c-9c27-966232f86be4)) + (fp_line (start -0.261252 0.735) (end 0.261252 0.735) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7a81747e-970d-42bf-8b8d-262bb8aa3fc2)) + (fp_line (start -1.88 -0.98) (end 1.88 -0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp de4f2f8f-c122-4b00-8092-41fd47c7c23f)) + (fp_line (start -1.88 0.98) (end -1.88 -0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e27cef8d-c9f2-4bbc-a54c-88820f57d6cf)) + (fp_line (start 1.88 -0.98) (end 1.88 0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6d99c7c8-4eea-4c12-892d-df334991a522)) + (fp_line (start 1.88 0.98) (end -1.88 0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6f2a1371-76ab-4c0e-a31f-e6bbaba4c805)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9dca3520-288b-4130-83f4-01fd0f638bf7)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f4e516a2-8c39-439d-8e95-480d39a2b6f6)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b8e1f523-7c87-4018-af4f-c576acc3b471)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ee06b28a-f22e-4600-bf0a-947050ec2bfa)) + (pad "1" smd roundrect (at -1.0375 0 270) (size 1.175 1.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.212766) + (net 10 "/PIN_TX") (pintype "passive") (tstamp d8d92547-5779-452b-8b57-1c055921e32a)) + (pad "2" smd roundrect (at 1.0375 0 270) (size 1.175 1.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.212766) + (net 2 "GND") (pintype "passive") (tstamp 55ea8a7a-0dda-4cff-baa6-80c603f1c703)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Inductor_SMD:L_0805_2012Metric_Pad1.05x1.20mm_HandSolder" (layer "F.Cu") + (tstamp 327d2cc5-9be3-4bfa-a353-83a479d01e78) + (at 144.526 157.988 180) + (descr "Inductor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 80, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "inductor handsolder") + (property "MPN" "LQW2BASR18G00L") + (property "Need_order" "0") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Inductor") + (property "ki_keywords" "inductor choke coil reactor magnetic") + (path "/4c0a2dee-ce53-46a2-a470-43feea88777d") + (attr smd) + (fp_text reference "L8" (at 0 -1.55 180 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp 3132890e-ede8-48c3-a68c-8945f34dc6b5) + ) + (fp_text value "180nH" (at 0 1.55) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp bac351bd-bb53-41ef-94a2-a17660c3bdae) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.08))) + (tstamp cec19e3e-854f-4ee2-8dcd-fa2d8dfc24f6) + ) + (fp_line (start -0.410242 -0.56) (end 0.410242 -0.56) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3848f9a3-63f9-4205-94f3-a7fa73eb0d79)) + (fp_line (start -0.410242 0.56) (end 0.410242 0.56) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 20adcaae-ef71-47a1-8956-95569d88aca3)) + (fp_line (start -1.92 -0.85) (end 1.92 -0.85) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c7d4e89d-d0cc-45fb-bbc1-8484ae50ae0b)) + (fp_line (start -1.92 0.85) (end -1.92 -0.85) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 28b60662-6237-4c3c-87bd-ee6d0c7550e2)) + (fp_line (start 1.92 -0.85) (end 1.92 0.85) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b8d9254f-6792-4c39-8026-222b77da42e3)) + (fp_line (start 1.92 0.85) (end -1.92 0.85) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp efe4809d-8cdb-47a2-bd0b-34a9913e7101)) + (fp_line (start -1 -0.45) (end 1 -0.45) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 84c5639e-f204-451a-b92f-2b7804cbbead)) + (fp_line (start -1 0.45) (end -1 -0.45) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1f4de1b9-ba25-4cea-94ec-30fe93207e11)) + (fp_line (start 1 -0.45) (end 1 0.45) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a8c4920a-c079-4924-9c77-0171cdb9e522)) + (fp_line (start 1 0.45) (end -1 0.45) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 27939c2e-0c56-43e8-881c-7c06f468fafa)) + (pad "1" smd roundrect (at -1.15 0 180) (size 1.05 1.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.238095) + (net 20 "Net-(D4-A)") (pinfunction "1") (pintype "passive") (tstamp c99cd499-5ec0-4afa-9de2-d812d1211acb)) + (pad "2" smd roundrect (at 1.15 0 180) (size 1.05 1.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.238095) + (net 59 "Net-(L8-Pad2)") (pinfunction "2") (pintype "passive") (tstamp d11c0223-90ec-4871-ab32-822d8419cee3)) + (model "${KICAD6_3DMODEL_DIR}/Inductor_SMD.3dshapes/L_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" (layer "F.Cu") + (tstamp 34c83fb7-af07-4a0f-bb7a-9aa7ec5e8b4b) + (at 165.608 160.274 -90) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "MPN" "VJ0805A103KXJTBC") + (property "Need_order" "0") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/1a6bb0c1-8bde-42d6-b711-6a0bba9ec54e") + (attr smd) + (fp_text reference "C26" (at 0 -1.68 -90 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp 34a7ea3c-9a9a-408f-aaf4-98fdc292269f) + ) + (fp_text value "10nF" (at 0 1.68 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp fa552c77-5d72-4d2c-b14b-232c9683d267) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.08))) + (tstamp 353123e2-4f94-46b4-8a69-a067884f82bc) + ) + (fp_line (start -0.261252 -0.735) (end 0.261252 -0.735) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp aa1a6756-dc6d-4afc-a74e-964cbaa52eac)) + (fp_line (start -0.261252 0.735) (end 0.261252 0.735) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e8ce72f8-35c8-4df1-a602-74c0326bc11f)) + (fp_line (start -1.88 -0.98) (end 1.88 -0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9261c2d0-6682-465e-9d92-f475f0a7ee42)) + (fp_line (start -1.88 0.98) (end -1.88 -0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 46794f1c-e166-45c7-92a4-1782ddc156a1)) + (fp_line (start 1.88 -0.98) (end 1.88 0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e83d059c-b5eb-4409-be46-0a68d5a51655)) + (fp_line (start 1.88 0.98) (end -1.88 0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b03b9f09-fcb8-4ba4-b179-b21ebeed372d)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 510d4179-7de5-4e13-821c-b479569d0a1a)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2f8a5c3a-6074-4413-a3f2-248709902aac)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7394d73a-af4a-4b4e-9b51-3657f573b9b3)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 48022541-1fe5-46fc-8c68-7baad203ff68)) + (pad "1" smd roundrect (at -1.0375 0 270) (size 1.175 1.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.212766) + (net 24 "Net-(C26-Pad1)") (pintype "passive") (tstamp 232c61f7-473f-41c4-83ca-dfda2b432408)) + (pad "2" smd roundrect (at 1.0375 0 270) (size 1.175 1.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.212766) + (net 2 "GND") (pintype "passive") (tstamp 328ef26d-b2e7-4772-bd72-97275658a7c5)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "RF_GPS:ublox_NEO" (layer "F.Cu") + (tstamp 363d3cce-d435-4005-804f-c3434974aaa6) + (at 113.792 79.756 180) + (descr "ublox NEO 6/7/8, (https://www.u-blox.com/sites/default/files/NEO-8Q-NEO-M8-FW3_HardwareIntegrationManual_%28UBX-15029985%29_0.pdf)") + (tags "GPS ublox NEO 6/7/8") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "GNSS Module NEO M8, VCC 2.7V to 3.6V") + (property "ki_keywords" "ublox GPS GNSS module") + (path "/9429db2b-0ac8-4d2a-a58a-a63f69b2b958") + (attr smd) + (fp_text reference "U3" (at 0 -9 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp a26cc121-1281-49fd-b9dc-8e454f863a33) + ) + (fp_text value "NEO-M8N" (at 0 0.8) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 6b58ed4e-898c-4cd0-926a-7c0bf3b540ba) + ) + (fp_text user "${REFERENCE}" (at 0 -0.8) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 496914d4-e6e7-4141-9add-23d158d4d84e) + ) + (fp_line (start -6.9 -7.66) (end -6.21 -7.66) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 48355a6a-8d12-4d9d-9774-af3c6dac61e5)) + (fp_line (start -6.21 -8.11) (end -6.21 -7.66) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8e77e2ff-5487-4d36-bbba-7d2a6fcb72ee)) + (fp_line (start -6.21 -8.11) (end 6.21 -8.11) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 934f242f-2d71-4ee5-a7ec-5b1f796680a7)) + (fp_line (start -6.21 0.26) (end -6.21 1.94) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7415b320-6708-4e3d-a479-57076e8dbae4)) + (fp_line (start -6.21 7.66) (end -6.21 8.11) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp dd78628d-5628-42f0-baee-28cb5bfb43e9)) + (fp_line (start -6.21 8.11) (end 6.21 8.11) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c26767a2-abb1-4bd8-bbdf-254f246bdc94)) + (fp_line (start 6.21 -8.11) (end 6.21 -7.66) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7889c33c-2eb0-4686-9825-630f47ae6fbc)) + (fp_line (start 6.21 0.26) (end 6.21 1.94) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 983cf215-e381-45d8-bb39-f02d7b81bf79)) + (fp_line (start 6.21 7.66) (end 6.21 8.1) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0adc8da1-c15e-44d8-927e-f9414b014dbc)) + (fp_line (start -7.15 -8.25) (end -7.15 8.25) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 616b36f3-a0ac-4e50-8903-ed3d353650f3)) + (fp_line (start -7.15 -8.25) (end 7.15 -8.25) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 88cc340d-5cfc-4853-b9cc-ba2cd38d1d0a)) + (fp_line (start -7.15 8.25) (end 7.15 8.25) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 29701f54-f1ec-44aa-b93c-154530949754)) + (fp_line (start 7.15 -8.25) (end 7.15 8.25) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0c3c7ee5-3f3f-4ddf-bc93-7ef0f3fc7423)) + (fp_line (start -6.1 -7) (end -6.1 8) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5d31ef3b-e58c-42e0-a20b-2560b55035e5)) + (fp_line (start -6.1 8) (end 6.1 8) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 29dfbe8a-6c69-43f7-b374-e6a6df7cf3ab)) + (fp_line (start -5.1 -8) (end -6.1 -7) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 67686af8-8523-4fd1-82ce-9d55d408b72a)) + (fp_line (start -5.1 -8) (end 6.1 -8) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c40e2db1-1ceb-4de9-92a4-cabcdbb5d1d5)) + (fp_line (start 6.1 -8) (end 6.1 8) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 917ca383-c5e3-4950-a769-6fb314a2c171)) + (pad "1" smd roundrect (at -6 -7 180) (size 1.8 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 89 "unconnected-(U3-~{SAFEBOOT}-Pad1)") (pinfunction "~{SAFEBOOT}") (pintype "input+no_connect") (tstamp ed64c9c1-fca8-446c-90e7-ed1d1fc8a09a)) + (pad "2" smd roundrect (at -6 -5.9 180) (size 1.8 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 90 "unconnected-(U3-D_SEL-Pad2)") (pinfunction "D_SEL") (pintype "input+no_connect") (tstamp f65c2bf2-39af-44df-bce2-2f518cf4188d)) + (pad "3" smd roundrect (at -6 -4.8 180) (size 1.8 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 91 "unconnected-(U3-TIMEPULSE-Pad3)") (pinfunction "TIMEPULSE") (pintype "output") (tstamp 56562a4e-0d88-46b2-9146-cfd2fbef5738)) + (pad "4" smd roundrect (at -6 -3.7 180) (size 1.8 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 92 "unconnected-(U3-EXTINT-Pad4)") (pinfunction "EXTINT") (pintype "input+no_connect") (tstamp 40df1964-c239-4725-8b30-8a680f706314)) + (pad "5" smd roundrect (at -6 -2.6 180) (size 1.8 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 93 "unconnected-(U3-USB_DM-Pad5)") (pinfunction "USB_DM") (pintype "bidirectional+no_connect") (tstamp a4384964-fab8-44ca-9748-2c79b9b6ff65)) + (pad "6" smd roundrect (at -6 -1.5 180) (size 1.8 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 94 "unconnected-(U3-USB_DP-Pad6)") (pinfunction "USB_DP") (pintype "bidirectional+no_connect") (tstamp a8dc1e3e-3397-495b-9ea8-b8104431f236)) + (pad "7" smd roundrect (at -6 -0.4 180) (size 1.8 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "VDD_USB") (pintype "power_in") (tstamp c05f79cc-a213-433d-92d6-7b60a682f172)) + (pad "8" smd roundrect (at -6 2.6 180) (size 1.8 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 95 "unconnected-(U3-~{RESET}-Pad8)") (pinfunction "~{RESET}") (pintype "input+no_connect") (tstamp 9b781392-4719-46f5-8f52-781fc4c13e4b)) + (pad "9" smd roundrect (at -6 3.7 180) (size 1.8 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 76 "Net-(U3-VCC_RF)") (pinfunction "VCC_RF") (pintype "power_out") (tstamp 7b9c0a08-90f8-4fac-a680-b4c07d3b6f3d)) + (pad "10" smd roundrect (at -6 4.8 180) (size 1.8 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 0e406037-dfeb-4898-a285-3e3a1c36a221)) + (pad "11" smd roundrect (at -6 5.9 180) (size 1.8 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 58 "Net-(J4-In)") (pinfunction "RF_IN") (pintype "input") (tstamp 0b28b7bc-f3d5-480d-af42-053a1e6fc176)) + (pad "12" smd roundrect (at -6 7 180) (size 1.8 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "GND") (pintype "passive") (tstamp 982f43ae-7e89-4eaa-84bc-cda4e667cc6c)) + (pad "13" smd roundrect (at 6 7 180) (size 1.8 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "GND") (pintype "passive") (tstamp 0767097e-59e1-42df-8c76-daf50495b074)) + (pad "14" smd roundrect (at 6 5.9 180) (size 1.8 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 96 "unconnected-(U3-LNA_EN-Pad14)") (pinfunction "LNA_EN") (pintype "output+no_connect") (tstamp cadfa2ec-cf1b-48fd-9374-f97fb824bdc4)) + (pad "15" smd roundrect (at 6 4.8 180) (size 1.8 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 97 "unconnected-(U3-RESERVED-Pad15)") (pinfunction "RESERVED") (pintype "no_connect") (tstamp f9e620df-37cf-42bc-a975-f11a003e25e7)) + (pad "16" smd roundrect (at 6 3.7 180) (size 1.8 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 98 "unconnected-(U3-RESERVED-Pad16)") (pinfunction "RESERVED") (pintype "no_connect") (tstamp 27a2b173-6749-4356-951f-ed8a77b2f92a)) + (pad "17" smd roundrect (at 6 2.6 180) (size 1.8 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 99 "unconnected-(U3-RESERVED-Pad17)") (pinfunction "RESERVED") (pintype "no_connect") (tstamp 6aa5aead-6af0-40ad-b932-b8bed3014c0b)) + (pad "18" smd roundrect (at 6 -0.4 180) (size 1.8 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 72 "Net-(U3-SDA{slash}~{SPI_CS})") (pinfunction "SDA/~{SPI_CS}") (pintype "bidirectional") (tstamp 4f26287f-984b-449b-a0ae-00d946085ba1)) + (pad "19" smd roundrect (at 6 -1.5 180) (size 1.8 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 74 "Net-(U3-SCL{slash}SPI_CLK)") (pinfunction "SCL/SPI_CLK") (pintype "input") (tstamp 1223837d-25fb-4ffd-b7f1-53b9f0992778)) + (pad "20" smd roundrect (at 6 -2.6 180) (size 1.8 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 68 "Net-(U3-TXD{slash}SPI_MISO)") (pinfunction "TXD/SPI_MISO") (pintype "output") (tstamp 155b29e8-af6c-4b4d-8dd4-a6da196ec8c3)) + (pad "21" smd roundrect (at 6 -3.7 180) (size 1.8 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "Net-(U3-RXD{slash}SPI_MOSI)") (pinfunction "RXD/SPI_MOSI") (pintype "input") (tstamp 40c025d9-006b-4183-934f-fbb86c27845b)) + (pad "22" smd roundrect (at 6 -4.8 180) (size 1.8 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 4 "Net-(U3-VCC)") (pinfunction "V_BCKP") (pintype "power_in") (tstamp aaadfb1e-77e5-46b5-a71b-6a12ea66871d)) + (pad "23" smd roundrect (at 6 -5.9 180) (size 1.8 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 4 "Net-(U3-VCC)") (pinfunction "VCC") (pintype "power_in") (tstamp 662a281c-f043-4958-a04f-a3fa9f59571f)) + (pad "24" smd roundrect (at 6 -7 180) (size 1.8 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "GND") (pintype "passive") (tstamp 6e5f0265-7ed9-4bb8-bf74-25e9a862798f)) + (model "${KICAD6_3DMODEL_DIR}/RF_GPS.3dshapes/ublox_NEO.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "F.Cu") + (tstamp 374c4c6e-9e4a-4240-a155-efcb8854c641) + (at 103.632 81.407 180) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Need_order" "0") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor") + (property "ki_keywords" "R res resistor") + (path "/693e0675-3eb2-49fe-acc2-5e85777c2eea") + (attr smd) + (fp_text reference "R3" (at 3.683 0 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp 12daa2bd-c943-4888-b44b-63c5b21660f7) + ) + (fp_text value "0" (at 0 1.43) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp d7ffcbe5-264c-4715-a353-438d3376053f) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 1ead4c98-402b-4898-b0a7-3812eb2e8dde) + ) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6054b8a9-12d1-4a4d-8138-d8ba66550583)) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 70951152-696f-4a75-8dc2-a95fd2c4a7fb)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c4d0ba93-e9e7-4590-b59d-c2b2110b8696)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp dd6ff85d-d053-4229-b5e8-d49340a8b276)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6d2b0425-63f7-40a4-b725-57681deee867)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp aed03238-b40e-4180-8f60-b64ec4d20f64)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5c0a68df-9903-487f-81dd-c96536746eac)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp fc6ddb83-b41e-4890-a409-3570a67efaf8)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b01c833b-acff-419c-b403-3f3178ea0e02)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7812f9cf-704b-4fb9-bb85-422e1ede14d1)) + (pad "1" smd roundrect (at -0.9125 0 180) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 68 "Net-(U3-TXD{slash}SPI_MISO)") (pintype "passive") (tstamp 04a7a7f8-cece-4d7b-9918-cc16b5c7b695)) + (pad "2" smd roundrect (at 0.9125 0 180) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 69 "/Control/USART1_RX") (pintype "passive") (tstamp 46964fa8-78e8-414d-b186-aefc31fae182)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Inductor_SMD:L_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "F.Cu") + (tstamp 406d2632-750f-4971-8dda-8ecf6cc894c4) + (at 121.666 101.3245 180) + (descr "Inductor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "inductor handsolder") + (property "MPN" "BLM18BB221SN1D") + (property "Need_order" "0") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Ferrite bead") + (property "ki_keywords" "L ferrite bead inductor filter") + (path "/09799e9f-1d07-43ae-82a2-5f399275ea3a") + (attr smd) + (fp_text reference "FB1" (at 0 -1.43 180 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp 36a76974-30f0-4761-a8eb-1473e7d9d51c) + ) + (fp_text value "BLM18BB221SN1D" (at 0 1.43) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 2f6496a8-dd32-4001-baad-23c71429bcf9) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 7997987c-5e34-4599-84eb-8f9ada989d69) + ) + (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9e1766a6-e465-4151-9977-bc1c220e701c)) + (fp_line (start -0.171267 0.51) (end 0.171267 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bb57419d-15d4-4dd5-a77a-3465bddfe5c7)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9eef66f4-1704-4e9a-a78e-24f4d2b4cfff)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f81a7e16-159f-47fc-8de6-e393e982766b)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7db9be69-cfb0-4da1-9eb9-09b471648d6a)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp eebb31db-a922-45a7-992a-3a68d57d78fd)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4e16e502-08fe-4162-bbf2-f6ac6fef4d3d)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp bb4c5a0b-3ae7-4419-8107-bef88aec680a)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d59dbf38-7ff2-40d6-84b2-cdb4115b3d38)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e432d7d2-f9b1-40c1-93ec-abc8eeb7ab12)) + (pad "1" smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 3 "Net-(U1-3.3V)") (pintype "passive") (tstamp 51c38e96-50bb-4096-8627-65f2048b9d9b)) + (pad "2" smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 5 "+3V3") (pintype "passive") (tstamp 1128c894-1400-4748-83de-13dc1fec26d4)) + (model "${KICAD6_3DMODEL_DIR}/Inductor_SMD.3dshapes/L_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Connector_PinHeader_2.54mm:PinHeader_1x02_P2.54mm_Vertical" (layer "F.Cu") + (tstamp 4213e0d2-5724-4043-bf74-60f1ed07bb0d) + (at 122.936 92.71) + (descr "Through hole straight pin header, 1x02, 2.54mm pitch, single row") + (tags "Through hole pin header THT 1x02 2.54mm single row") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Generic connector, single row, 01x02, script generated (kicad-library-utils/schlib/autogen/connector/)") + (property "ki_keywords" "connector") + (path "/3fda3d14-237f-4815-9887-fcae2a704fe4") + (attr through_hole) + (fp_text reference "J12" (at 0 -2.33 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp 843bb0fe-00e7-4f55-9333-18f418c2e9f7) + ) + (fp_text value "GND" (at 0 4.87) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 7521140a-06da-4e85-b746-72585611858a) + ) + (fp_text user "${REFERENCE}" (at 0 1.27 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 3abfc656-960f-4742-9665-f5239be2cf63) + ) + (fp_line (start -1.33 -1.33) (end 0 -1.33) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 842ac634-c2af-44f5-879d-1c1a850dc453)) + (fp_line (start -1.33 0) (end -1.33 -1.33) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3658f2e3-b78f-4e69-b848-74227f77a9e9)) + (fp_line (start -1.33 1.27) (end -1.33 3.87) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 370a89ae-146d-4969-89ee-91d523976999)) + (fp_line (start -1.33 1.27) (end 1.33 1.27) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 94a5acf4-d5f1-4bdf-83c8-b976094901b9)) + (fp_line (start -1.33 3.87) (end 1.33 3.87) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp eeec4e8f-6a4e-470d-8528-3c01570852a7)) + (fp_line (start 1.33 1.27) (end 1.33 3.87) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7eddb697-12e2-48d5-8135-fdb0bf8bcad5)) + (fp_line (start -1.8 -1.8) (end -1.8 4.35) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6408dc83-6523-4bdb-a32e-c004e0ab328f)) + (fp_line (start -1.8 4.35) (end 1.8 4.35) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3a3c7037-8c6d-419d-b68e-e01a882b0be2)) + (fp_line (start 1.8 -1.8) (end -1.8 -1.8) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ed74194f-dd5a-4039-930d-1fbec8f5bc9a)) + (fp_line (start 1.8 4.35) (end 1.8 -1.8) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 26cec55a-1851-4448-8b20-b3505e7f71f8)) + (fp_line (start -1.27 -0.635) (end -0.635 -1.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f3fd762b-8bea-40c9-b52d-ddea3862911c)) + (fp_line (start -1.27 3.81) (end -1.27 -0.635) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp de9cbb89-5b76-438a-9f45-01a527cbb9cb)) + (fp_line (start -0.635 -1.27) (end 1.27 -1.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9ac2f3d6-b97e-451b-afa0-eee7965115d9)) + (fp_line (start 1.27 -1.27) (end 1.27 3.81) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 972f6b80-6f79-4513-a860-a2e8a33071be)) + (fp_line (start 1.27 3.81) (end -1.27 3.81) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f07d99a0-0905-4cf9-b13e-c083bbab4795)) + (pad "1" thru_hole rect (at 0 0) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 2 "GND") (pinfunction "Pin_1") (pintype "passive") (tstamp bb6c59f6-e206-4e61-89dc-1c520d465cf8)) + (pad "2" thru_hole oval (at 0 2.54) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 2 "GND") (pinfunction "Pin_2") (pintype "passive") (tstamp 3504184d-9186-4c3e-bbbe-0b0d7d9764dc)) + (model "${KICAD6_3DMODEL_DIR}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_1x02_P2.54mm_Vertical.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Inductor_SMD:L_1008_2520Metric_Pad1.43x2.20mm_HandSolder" (layer "F.Cu") + (tstamp 437a7792-867f-4ff5-81f3-bf44af4a6e36) + (at 157.988 87.884 -90) + (descr "Inductor SMD 1008 (2520 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: https://ecsxtal.com/store/pdf/ECS-MPI2520-SMD-POWER-INDUCTOR.pdf), generated with kicad-footprint-generator") + (tags "inductor handsolder") + (property "MPN" "LQW2UAS22Nx0CL") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Inductor, small symbol") + (property "ki_keywords" "inductor choke coil reactor magnetic") + (path "/fafc182c-bad2-42da-87aa-64e2e721b5ea") + (attr smd) + (fp_text reference "L6" (at -2.794 0 -90 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp 6037ebe7-6537-4bc3-9892-54f10aafd22d) + ) + (fp_text value "22nH" (at 0 2.05 -270) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 877e8b4c-513c-40ef-a2f5-48502da22a7e) + ) + (fp_text user "${REFERENCE}" (at 0 0 -270) (layer "F.Fab") + (effects (font (size 0.62 0.62) (thickness 0.09))) + (tstamp d12ba5ae-1b63-4b25-9ef4-e75eeaf6b547) + ) + (fp_line (start -0.261252 -1.11) (end 0.261252 -1.11) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d0b30b8b-fffa-4b5d-ae12-9f56bdfd0051)) + (fp_line (start -0.261252 1.11) (end 0.261252 1.11) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 44214094-23d9-47b0-abcd-890da433b930)) + (fp_line (start -2.12 -1.35) (end 2.12 -1.35) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e87930f1-24c4-4d58-b0e2-d18089e5d568)) + (fp_line (start -2.12 1.35) (end -2.12 -1.35) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4fa92c37-e29a-4d4e-883c-2ae9242fd58c)) + (fp_line (start 2.12 -1.35) (end 2.12 1.35) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ab1f41fd-9f2c-4e85-8517-c31eab95f7cd)) + (fp_line (start 2.12 1.35) (end -2.12 1.35) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 95b6662c-b8c7-4a7c-b5ba-55602ed0b8f4)) + (fp_line (start -1.25 -1) (end 1.25 -1) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f22cf874-1b8f-4217-b32a-b9bc081e5239)) + (fp_line (start -1.25 1) (end -1.25 -1) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9fa9374f-0666-4657-aa94-8c06a0cbae17)) + (fp_line (start 1.25 -1) (end 1.25 1) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5e8f75b8-822a-4a97-8157-0fbe20d495bf)) + (fp_line (start 1.25 1) (end -1.25 1) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d233bd8d-4239-4ec9-a059-930ae71c63da)) + (pad "1" smd roundrect (at -1.1625 0 270) (size 1.425 2.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.175439) + (net 15 "Net-(C13-Pad2)") (pintype "passive") (tstamp 1dd0747f-0faf-4d32-9aae-2963154e5a79)) + (pad "2" smd roundrect (at 1.1625 0 270) (size 1.425 2.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.175439) + (net 14 "Net-(C12-Pad1)") (pintype "passive") (tstamp 69be85b2-a3f1-44ad-ba19-82244e78f503)) + (model "${KICAD6_3DMODEL_DIR}/Inductor_SMD.3dshapes/L_1008_2520Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "F.Cu") + (tstamp 48f9a3e0-2dbf-4210-b090-1458867e34f9) + (at 151.384 163.068 90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Need_order" "0") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor") + (property "ki_keywords" "R res resistor") + (path "/9f3f0e97-0549-46ee-a88a-ffdb6d88aace") + (attr smd) + (fp_text reference "R14" (at 0 -1.43 90 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp 6c7920e5-8da7-4151-b971-ca7387e62c65) + ) + (fp_text value "1k" (at 0 1.43 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp ed19d140-d919-4e51-b6d6-5bdae4a5356c) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 4d62eaea-d52d-4570-80c6-dea9d53d9928) + ) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 03fad246-a1a1-46bd-9693-9f131b7830b3)) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ba5a892d-57e9-46e1-904f-e1e0cfe2f59e)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2e37c587-0382-403b-89a0-f13fdfc183cf)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b72cebfe-b897-460d-8b6f-08c51eb13769)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 30f28050-d6ab-45c3-bb87-f800a3440243)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2f2674e2-46f0-40e7-b339-17ed037348db)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 02f181a3-2c1e-4389-a5bf-81c95baac062)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 81be9918-d36d-408d-b2dd-78a1a39e898c)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 637fbfb3-bd3c-452f-9770-ac2337e33fcb)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp aee6854c-bcc3-4dbb-9a9b-07b362acf1fa)) + (pad "1" smd roundrect (at -0.9125 0 90) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 63 "/EN_PA") (pintype "passive") (tstamp 618ec8a6-4344-4adf-bf5e-3cd6d1c7f41c)) + (pad "2" smd roundrect (at 0.9125 0 90) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 61 "Net-(Q2-B)") (pintype "passive") (tstamp 30c5605e-87b3-4321-aff9-bd130446b3f7)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" (layer "F.Cu") + (tstamp 4a08dded-1ae0-46b6-a4d1-8532128b9338) + (at 126.746 79.248 180) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "MPN" "") + (property "Need_order" "0") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/4cae1a6a-392e-4eea-a293-b16b4398e6e5") + (attr smd) + (fp_text reference "C9" (at 0 -1.68 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp 62b67e1a-e152-48e1-bb54-6ae11a2e8ee6) + ) + (fp_text value "47pF" (at 0 1.68) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 22ce2daf-bb2c-433b-98a7-ed728e6147bf) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.08))) + (tstamp e41798fd-c6e7-4731-98c9-bdd46803be98) + ) + (fp_line (start -0.261252 -0.735) (end 0.261252 -0.735) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 171b9bd9-597b-42b5-9860-2bb7a3797cf7)) + (fp_line (start -0.261252 0.735) (end 0.261252 0.735) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp dfa8f41d-0cba-459d-9f45-6401bd302371)) + (fp_line (start -1.88 -0.98) (end 1.88 -0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3da514ca-6f04-419c-b7ed-c658258bf767)) + (fp_line (start -1.88 0.98) (end -1.88 -0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 395e6551-dfbe-4ce2-a1f5-37d57ddc89ab)) + (fp_line (start 1.88 -0.98) (end 1.88 0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2c7983bd-7987-46b6-a25a-ac3372acabfc)) + (fp_line (start 1.88 0.98) (end -1.88 0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a26a583a-34ad-405a-9ef2-f73ba9b3d3b2)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 059d47ea-3098-4403-b025-472db4090bcb)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4b4a13bb-050d-4365-90f2-5d2d9f084ed3)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0ac7ee09-5872-4cb8-91e3-5afcb2ce1c2d)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e1275a3f-e8f2-41b1-9c99-efa118a4cd1f)) + (pad "1" smd roundrect (at -1.0375 0 180) (size 1.175 1.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.212766) + (net 2 "GND") (pintype "passive") (tstamp 37bf7c31-d041-47ea-8466-8f2ce53d8943)) + (pad "2" smd roundrect (at 1.0375 0 180) (size 1.175 1.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.212766) + (net 11 "Net-(C9-Pad2)") (pintype "passive") (tstamp df07eb13-2f0e-45e4-9276-b2925d46184c)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Connector_AMASS:AMASS_XT60-M_1x02_P7.20mm_Vertical" (layer "F.Cu") + (tstamp 4aa8648b-3194-4e07-abaa-fdc7f3609d41) + (at 156.21 131.826 90) + (descr "AMASS female XT60, through hole, vertical, https://www.tme.eu/Document/2d152ced3b7a446066e6c419d84bb460/XT60%20SPEC.pdf") + (tags "XT60 female vertical") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Generic connector, single row, 01x02, script generated (kicad-library-utils/schlib/autogen/connector/)") + (property "ki_keywords" "connector") + (path "/da70f78b-240e-40a4-8093-392aa52c5798") + (attr through_hole) + (fp_text reference "J1" (at -3.556 -3.81 90 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp eadc79de-6816-439d-b48e-2aeb19dea95d) + ) + (fp_text value "XT60" (at 3.6 5.4 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 1e194f40-dce4-4228-8698-859f7f2f32f7) + ) + (fp_text user "${REFERENCE}" (at 3.6 0.05 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 478b9729-3ab9-45db-bf3c-8104f9eaad71) + ) + (fp_line (start -4.25 -1.6) (end -4.25 1.55) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d203677c-3a18-491a-9d0f-3c8d75ddb2e6)) + (fp_line (start -4.25 1.55) (end -1.4 4.15) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c1b5ad65-f1c0-4337-9970-db29ce63d687)) + (fp_line (start -1.4 -4.15) (end -4.25 -1.6) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b4f3862a-c6be-4d6a-840f-87908f492bdf)) + (fp_line (start -1.4 4.15) (end 11.45 4.15) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 017b76bc-74f6-450b-96ff-716fd6b96753)) + (fp_line (start 11.45 -4.15) (end -1.4 -4.15) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8017c161-6ef5-4ba8-8c58-233ccc3b2c1c)) + (fp_line (start 11.45 4.15) (end 11.45 -4.15) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8c056ad2-8792-4a00-ad49-8fcb7e97acfe)) + (fp_line (start -4.65 -1.85) (end -1.6 -4.6) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2852daa4-f7a5-4734-856b-4f50bc455c3f)) + (fp_line (start -4.65 1.85) (end -4.65 -1.85) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3679883e-edaf-448d-9e20-11862754089b)) + (fp_line (start -1.6 -4.6) (end 11.85 -4.6) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f7438884-f1d2-4d74-9ece-46ea5311c1f9)) + (fp_line (start -1.6 4.6) (end -4.65 1.85) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 35274219-4945-4940-aea1-0d58b8a8add8)) + (fp_line (start 11.85 -4.6) (end 11.85 4.6) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2a7dc5e8-84d6-4fec-ba57-03aeb2e8ed4e)) + (fp_line (start 11.85 4.6) (end -1.6 4.6) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ec282817-c404-404f-aa9d-226dd61e4ab7)) + (fp_line (start -4.15 -1.55) (end -4.15 1.55) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4cf89260-3efa-459f-b519-64fbb1c827f0)) + (fp_line (start -4.15 1.55) (end -1.4 4.05) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9054494f-a0ef-4489-be95-33524d09fb1c)) + (fp_line (start -1.4 -4.05) (end -4.15 -1.55) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8ed0581d-7ae9-490b-aa07-0fa563fc9b5e)) + (fp_line (start -1.4 4.05) (end 11.35 4.05) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp fb23c95c-c7e1-4954-931f-ca19c434788e)) + (fp_line (start 11.35 -4.05) (end -1.4 -4.05) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c906deb2-d0f6-4158-82af-d5b3be221e56)) + (fp_line (start 11.35 4.05) (end 11.35 -4.05) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9896dc3a-de9f-4ff3-9e62-b2df96a42077)) + (pad "1" thru_hole roundrect (at 0 0 90) (size 6 6) (drill 4.5) (layers "*.Cu" "*.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "Pin_1") (pintype "passive") (tstamp 35882c05-bc41-45ee-b1ca-ced82cd86eaf)) + (pad "2" thru_hole circle (at 7.2 0 90) (size 6 6) (drill 4.5) (layers "*.Cu" "*.Mask") + (net 41 "/BAT+") (pinfunction "Pin_2") (pintype "passive") (tstamp e5ce0bdf-c30c-48f6-a86a-7f229de72e94)) + (model "${KICAD6_3DMODEL_DIR}/Connector_AMASS.3dshapes/AMASS_XT60-M_1x02_P7.2mm_Vertical.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "F.Cu") + (tstamp 4e06f4aa-fb46-4142-8b4f-19bb627613ba) + (at 160.401 158.9805 -90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Need_order" "0") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor") + (property "ki_keywords" "R res resistor") + (path "/e51d95e8-dee9-4aec-81e0-0911e8cdc99f") + (attr smd) + (fp_text reference "R23" (at 0 -1.43 -90 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp f77eee1c-7a4e-410e-b578-c485e646ae60) + ) + (fp_text value "82" (at 0 1.43 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp ce1eb9a1-12ac-48ce-8275-7d4a361649f2) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 4cc0362e-5806-4935-b946-c7cdc900edc5) + ) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fd922bed-a433-43fd-8bc3-e114b2b0bb7c)) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 42b2ff99-c1e7-494c-a8a8-3bcf76ba62dc)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 961b4aec-fd19-4aa3-9e4c-53b32fabb45a)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp dedb4589-3b51-447b-8bb3-78614e30cf33)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2e4fc029-9af9-4c4f-ba95-fc43d29d9818)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 852099b4-1ef9-40d4-a5a7-531ddd8e7cab)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a94a6cdf-e06b-4ada-8c00-93a38c6e53ab)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 11df7480-a537-4679-9581-6f05934f6eda)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 295c34d9-6068-4838-afdc-54b812513eac)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ea3e1d12-654b-4b8f-8474-4d3568eb06e2)) + (pad "1" smd roundrect (at -0.9125 0 270) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 25 "/PIN_RX") (pintype "passive") (tstamp 578d2d3d-bfc9-473d-893e-d83cb1617eb8)) + (pad "2" smd roundrect (at 0.9125 0 270) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 66 "Net-(Q4B-D-Pad5)") (pintype "passive") (tstamp 8d9cdc7b-54f1-4f4f-bf4c-9b3b5a77b32f)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "F.Cu") + (tstamp 4eaec7a3-fd34-4e84-93b8-5a81f6b9ac63) + (at 124.714 131.064) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Need_order" "0") + (property "Sheetfile" "control.kicad_sch") + (property "Sheetname" "Control") + (property "ki_description" "Resistor") + (property "ki_keywords" "R res resistor") + (path "/717d30dc-b4e1-489a-b7b3-52f4b7c65672/14bce1f4-4923-46fa-ac79-b839bf8e37a6") + (attr smd) + (fp_text reference "R31" (at 0 -1.43 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp b00c9055-a5f7-444e-99e7-a15226afba18) + ) + (fp_text value "4.7k" (at 0 1.43) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 1b58945c-973d-4e9e-95a7-fbf07bbe0d36) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 8bdbf197-ff52-4ecf-a220-62e0663118b5) + ) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ba8fcf4b-b17c-4a02-9a4c-bb113628585d)) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8a977680-5a08-42ab-be06-000d32439f3b)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 43bab8d9-97a0-4830-b166-ea1e04bbfc3a)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ecc85196-09ed-4d1f-a4b0-f38fb14bfe7a)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8eec0854-37ff-4547-85bb-75eb15581dbe)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7f486803-1823-4be9-b694-b8bd456eca39)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6c1ed0b3-61a4-44f6-afb1-55befbf1ab6d)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 07b22e53-b9ff-4c75-ad1f-96168163580c)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8def6763-a10f-4319-8a2a-109b484988b8)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a3942f5c-8297-4cb6-b236-9c75664a4026)) + (pad "1" smd roundrect (at -0.9125 0) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 5 "+3V3") (pintype "passive") (tstamp 0b83d02b-09e3-40e9-b316-7df2eb9d486c)) + (pad "2" smd roundrect (at 0.9125 0) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 73 "/Control/SDA") (pintype "passive") (tstamp 7a08019f-064f-4172-ad88-1922c75c7bac)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "MountingHole:MountingHole_3.2mm_M3_Pad" locked (layer "F.Cu") + (tstamp 4f98ee4d-9611-4241-929f-8d0da6592229) + (at 101.346 163.576) + (descr "Mounting Hole 3.2mm, M3") + (tags "mounting hole 3.2mm m3") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Mounting Hole with connection") + (property "ki_keywords" "mounting hole") + (path "/80671e52-d09b-4927-8c71-7516e107aa9b") + (attr exclude_from_pos_files) + (fp_text reference "H3" (at 0 -4.2 unlocked) (layer "F.SilkS") hide + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp c3042913-c2ad-4f62-8c9b-0eec00790a16) + ) + (fp_text value "M3" (at 0 4.2) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 1d684727-5e9f-44fc-8c8b-07fb680365e7) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 67fa1212-ea2f-4d3d-9a8b-f8e190b2b2c5) + ) + (fp_circle (center 0 0) (end 3.2 0) + (stroke (width 0.15) (type solid)) (fill none) (layer "Cmts.User") (tstamp 7c33f7b9-436d-4e00-a2ac-026d78a4a35c)) + (fp_circle (center 0 0) (end 3.45 0) + (stroke (width 0.05) (type solid)) (fill none) (layer "F.CrtYd") (tstamp ef79efe7-7a3c-4e57-8e2f-9520a2a56b6b)) + (pad "1" thru_hole circle locked (at 0 0) (size 6.4 6.4) (drill 3.2) (layers "*.Cu" "*.Mask") + (net 2 "GND") (pinfunction "1") (pintype "input") (tstamp daa9daf4-c085-483f-af18-b3722ee29fa9)) + ) + + (footprint "MountingHole:MountingHole_3.2mm_M3_Pad" locked (layer "F.Cu") + (tstamp 511d2b40-ebf5-4e44-a6dc-eeb85da73de6) + (at 172.466 72.136) + (descr "Mounting Hole 3.2mm, M3") + (tags "mounting hole 3.2mm m3") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Mounting Hole with connection") + (property "ki_keywords" "mounting hole") + (path "/5cf878ca-52c8-40b7-ba04-6340d3c4bf30") + (attr exclude_from_pos_files) + (fp_text reference "H2" (at 0 -4.2 unlocked) (layer "F.SilkS") hide + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp c3e9b8d3-3d35-4e6e-8915-728cb6c7b936) + ) + (fp_text value "M3" (at 0 4.2) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp a4b72383-fbb1-4c3a-b9e6-afc134538f23) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 910ebf02-be62-409a-a83f-5a6c7c165eb2) + ) + (fp_circle (center 0 0) (end 3.2 0) + (stroke (width 0.15) (type solid)) (fill none) (layer "Cmts.User") (tstamp ac4ed106-0dac-473f-b3e5-577c160054b0)) + (fp_circle (center 0 0) (end 3.45 0) + (stroke (width 0.05) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 79c1f31d-d484-434c-a9f7-719dd05699ff)) + (pad "1" thru_hole circle locked (at 0 0) (size 6.4 6.4) (drill 3.2) (layers "*.Cu" "*.Mask") + (net 2 "GND") (pinfunction "1") (pintype "input") (tstamp ceff6ba3-5830-4fad-9a61-809b1d0bae8b)) + ) + + (footprint "Connector_Coaxial:U.FL_Hirose_U.FL-R-SMT-1_Vertical" (layer "F.Cu") + (tstamp 5628c55b-2fcc-4b71-8769-834ca75703df) + (at 130.556 102.0865 90) + (descr "Hirose U.FL Coaxial https://www.hirose.com/product/en/products/U.FL/U.FL-R-SMT-1%2810%29/") + (tags "Hirose U.FL Coaxial") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "coaxial connector (BNC, SMA, SMB, SMC, Cinch/RCA, LEMO, ...)") + (property "ki_keywords" "BNC SMA SMB SMC LEMO coaxial connector CINCH RCA") + (path "/e3be3eac-d7e8-4730-8e55-78abd75e4e78") + (attr smd) + (fp_text reference "J3" (at 0.475 -3.2 90 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp 5acf1a1c-2861-43b7-8621-1af8da78af83) + ) + (fp_text value "PA in" (at 0.475 3.2 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 0bb27a87-649c-44e8-b7eb-1fc3dff449bc) + ) + (fp_text user "${REFERENCE}" (at 0.475 0) (layer "F.Fab") + (effects (font (size 0.6 0.6) (thickness 0.09))) + (tstamp 1072f9ca-ce60-4d46-861c-a705f30975ad) + ) + (fp_line (start -0.885 -1.4) (end -0.885 -0.76) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3b284f38-95ec-4ce0-b5ff-2a4d19d13a6e)) + (fp_line (start -0.885 -0.76) (end -1.515 -0.76) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 949d0579-1526-4e83-9b9f-ff47eb8fd99f)) + (fp_line (start -0.885 1.4) (end -0.885 0.76) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7ef6c77d-4395-4272-9d9a-354a24826a4a)) + (fp_line (start 1.835 -1.35) (end 1.835 1.35) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cd45e8a6-db9e-483e-b210-fad0888371aa)) + (fp_line (start -2.02 1) (end -2.02 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 89f99e96-1db4-4c67-8bc7-f1c068bf1e52)) + (fp_line (start -1.32 -1.8) (end -1.12 -1.8) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4e96f2bb-787b-4dfc-8051-2e2033788502)) + (fp_line (start -1.32 -1) (end -2.02 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0ee07669-234b-4972-9a5f-eca7241011c0)) + (fp_line (start -1.32 -1) (end -1.32 -1.8) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3f9a6398-2a26-4260-8cba-038147dbe4bd)) + (fp_line (start -1.32 1) (end -2.02 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8e973897-9cbb-4036-a044-2473ab0c7d1c)) + (fp_line (start -1.32 1.8) (end -1.32 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ac3dc4a8-d91c-4d95-97c4-44796d282df9)) + (fp_line (start -1.32 1.8) (end -1.12 1.8) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5d0fefae-6742-4d9c-b1ed-c3474d9478af)) + (fp_line (start -1.12 -1.8) (end -1.12 -2.5) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d423d963-2baf-4df9-a1ec-0b59b62cc611)) + (fp_line (start -1.12 2.5) (end -1.12 1.8) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 31141db8-696b-4931-a255-a07aec6cb409)) + (fp_line (start 2.08 -2.5) (end -1.12 -2.5) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1992ba17-b3c2-4089-addf-00fd70045d66)) + (fp_line (start 2.08 -1.8) (end 2.08 -2.5) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8cc048b5-3d4b-4b83-8985-352c723827cb)) + (fp_line (start 2.08 -1.8) (end 2.28 -1.8) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 113f0f4d-fbe7-426c-8455-c57e42d1cf19)) + (fp_line (start 2.08 1.8) (end 2.28 1.8) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8698ef9b-c346-4339-9a14-74720cd8f9cc)) + (fp_line (start 2.08 2.5) (end -1.12 2.5) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp baab85dc-1bb7-4cb6-a566-147264a5f1f6)) + (fp_line (start 2.08 2.5) (end 2.08 1.8) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp bdd0b154-3573-4505-93e1-bbccbb5a0e25)) + (fp_line (start 2.28 1.8) (end 2.28 -1.8) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 954ecf0b-56f6-403e-85e2-0982919e3225)) + (fp_line (start -1.075 0.3) (end -1.075 -0.15) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 32e0e7ee-603e-4152-b470-deb3ea35a2f2)) + (fp_line (start -1.075 0.3) (end -0.825 0.3) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2da4f2a2-6464-4b73-9eb9-340a2b848912)) + (fp_line (start -0.925 -0.3) (end -1.075 -0.15) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 49b38423-0bde-4c08-aa49-3b720b8ee212)) + (fp_line (start -0.925 -0.3) (end -0.825 -0.3) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2f904440-201b-42fc-8198-5ff8207136cf)) + (fp_line (start -0.825 -0.3) (end -0.825 -1.3) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f11f6d9f-a24c-424e-9509-49d57b783a7c)) + (fp_line (start -0.825 0.3) (end -0.825 1.3) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e22bc5ff-09ce-4412-823a-330ed729907e)) + (fp_line (start -0.425 -1.5) (end -0.425 -1.3) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c7902b27-7b42-4fc8-8dbe-0897885d2be4)) + (fp_line (start -0.425 -1.5) (end 1.375 -1.5) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8c45e90f-bee5-4e24-9160-6d6d65b4e7b5)) + (fp_line (start -0.425 -1.3) (end -0.825 -1.3) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ece7a312-b30d-4e35-ac63-49f22843444a)) + (fp_line (start -0.425 1.3) (end -0.825 1.3) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ffe63d66-167c-44a3-b506-a03a791dba53)) + (fp_line (start -0.425 1.5) (end -0.425 1.3) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8dd010ba-3f41-4e85-a840-10799e79c961)) + (fp_line (start -0.425 1.5) (end 1.375 1.5) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 66a54869-6a19-4fc3-bdaa-0d3d42d8f3fd)) + (fp_line (start 1.375 -1.5) (end 1.375 -1.3) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 65f44661-7707-4dc4-85ea-084578be1737)) + (fp_line (start 1.375 1.5) (end 1.375 1.3) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 669aa44a-3f26-4415-a0ad-84487a0676e4)) + (fp_line (start 1.775 -1.3) (end 1.375 -1.3) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2afed863-6535-425d-92c0-a71e1b3b20a7)) + (fp_line (start 1.775 -1.3) (end 1.775 1.3) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 89f9ca24-3632-420b-a962-04d246aa7ac8)) + (fp_line (start 1.775 1.3) (end 1.375 1.3) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5c24a049-f15e-416f-875a-56d755108eb2)) + (pad "1" smd rect (at -1.05 0 90) (size 1.05 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 7 "Net-(J3-In)") (pinfunction "In") (pintype "passive") (tstamp 3e98be13-4b5e-460c-acc9-0905faad0f37)) + (pad "2" smd rect (at 0.475 -1.475 90) (size 2.2 1.05) (layers "F.Cu" "F.Paste" "F.Mask") + (net 2 "GND") (pinfunction "Ext") (pintype "passive") (tstamp 53996960-8980-4f04-9f17-726e3cc5dcf1)) + (pad "2" smd rect (at 0.475 1.475 90) (size 2.2 1.05) (layers "F.Cu" "F.Paste" "F.Mask") + (net 2 "GND") (pinfunction "Ext") (pintype "passive") (tstamp aabf4c76-d9d6-428c-9f67-abb78a9df388)) + (model "${KICAD6_3DMODEL_DIR}/Connector_Coaxial.3dshapes/U.FL_Hirose_U.FL-R-SMT-1_Vertical.wrl" + (offset (xyz 0.4749999928 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "F.Cu") + (tstamp 5942ecb8-198c-406f-a23c-b3b2e0cb7837) + (at 125.476 140.97 -90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Need_order" "0") + (property "Sheetfile" "control.kicad_sch") + (property "Sheetname" "Control") + (property "dnp" "") + (property "ki_description" "Resistor") + (property "ki_keywords" "R res resistor") + (path "/717d30dc-b4e1-489a-b7b3-52f4b7c65672/84a21131-2200-4335-93ae-aecc1fb1a199") + (attr smd) + (fp_text reference "R41" (at 0 -1.43 -90 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp 82443d29-d8d5-423e-a321-82e5e678c1bf) + ) + (fp_text value "100k" (at 0 1.43 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp c76a0d50-3b90-4958-bbcd-abc06783c7b8) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp d1ffa2fe-69fe-48d2-b6e0-394fde80502e) + ) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 096a1d8d-f2de-4735-b0b4-40998bad0016)) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e4bde386-b7c4-4e9d-9ad1-fb0ab4d9d1c8)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp cfb24e7e-f8eb-43cf-86bd-74ac2f07fdb6)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8a675df0-b1d2-4269-bf4e-a0ef3712adbb)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c1607fc5-dedd-49b9-8e81-19ef7620d42f)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0ed14988-cd32-45a1-805d-cc47afc95a0e)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c1e0a883-a35b-4d2f-8230-35274bf7a4f8)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp caf78dc8-b126-477e-8892-95a7bbda2e4a)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ce824daf-e5df-424d-8e0a-c49c2d3c99c2)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 33d922e4-c8b0-4b86-b763-a6eabea66ba4)) + (pad "1" smd roundrect (at -0.9125 0 270) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 5 "+3V3") (pintype "passive") (tstamp 73e5f096-b58c-432c-88a2-76326068c41e)) + (pad "2" smd roundrect (at 0.9125 0 270) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 87 "/Control/BOOT0") (pintype "passive") (tstamp 1fdfe41c-ce3f-476c-9731-dfd278e15436)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" (layer "F.Cu") + (tstamp 59ab8c83-b374-401c-9802-48f675b1d9b2) + (at 135.89 95.25 -90) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "MPN" "") + (property "Need_order" "") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/bc3783aa-2c32-42f6-9946-b7070a8f73ac") + (attr smd) + (fp_text reference "C10" (at 0 -1.68 -90 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp 18c29a98-c3a2-43d4-94a7-a28d624dacb5) + ) + (fp_text value "100pF" (at 0 1.68 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp e893d078-8c8e-47a1-ba6e-cd56ef9bc243) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.08))) + (tstamp 9457015e-affd-44c9-9bd1-e1814372f9f7) + ) + (fp_line (start -0.261252 -0.735) (end 0.261252 -0.735) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5b26600f-bbc4-458f-af0a-774794de66a6)) + (fp_line (start -0.261252 0.735) (end 0.261252 0.735) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e3eb981d-2a52-4bcc-9d0e-4308a3d7d333)) + (fp_line (start -1.88 -0.98) (end 1.88 -0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7a57c8db-0962-4246-a6ad-560d3063961c)) + (fp_line (start -1.88 0.98) (end -1.88 -0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f6b93f9d-0809-4c32-b14f-942f7f69afce)) + (fp_line (start 1.88 -0.98) (end 1.88 0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 08598b5a-e60c-4565-8187-07ce326656f1)) + (fp_line (start 1.88 0.98) (end -1.88 0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1ef42d05-70ce-4b83-99d4-6bce4c1571ca)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a487bdbf-7e1f-494b-9946-76baf1d6b8fe)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 29df93b2-dca1-4dc3-9e1d-5891cc35e334)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 235c17d1-cd83-4e22-a0f5-5849221e4835)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 102b1c75-b40b-4d0d-b119-7d790b36b155)) + (pad "1" smd roundrect (at -1.0375 0 270) (size 1.175 1.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.212766) + (net 12 "Net-(C10-Pad1)") (pintype "passive") (tstamp 0ce5b74d-15ac-478a-8f5c-82e81782e15f)) + (pad "2" smd roundrect (at 1.0375 0 270) (size 1.175 1.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.212766) + (net 13 "Net-(D1-A)") (pintype "passive") (tstamp 92f26597-517f-489f-9c8b-966d9b5c7015)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" (layer "F.Cu") + (tstamp 5b7d19b3-5df7-4ad5-90f6-f6898f6c9c35) + (at 141.224 80.772 -90) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "MPN" "") + (property "Need_order" "") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/a34aa3bc-7af0-4d4e-b869-ae81cb865783") + (attr smd) + (fp_text reference "C11" (at 3.048 0 -90 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp 58a83733-8ffb-463a-a1f3-67e68d73f881) + ) + (fp_text value "10pF" (at 0 1.68 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp d28bc5fe-1757-4a2e-b682-1d5ccb020831) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.08))) + (tstamp 3810ced2-0491-41c9-a3a5-bd6709396403) + ) + (fp_line (start -0.261252 -0.735) (end 0.261252 -0.735) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 24728fd7-1bce-4446-8862-815371af6ffd)) + (fp_line (start -0.261252 0.735) (end 0.261252 0.735) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4f6a89b8-6316-4397-96d9-02c9efd4c963)) + (fp_line (start -1.88 -0.98) (end 1.88 -0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6711a12b-0384-457c-b9f9-b23167f6fbb2)) + (fp_line (start -1.88 0.98) (end -1.88 -0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e8f8b30c-268e-4d6c-8357-2156dc18ae09)) + (fp_line (start 1.88 -0.98) (end 1.88 0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8fe42abc-ea9e-490e-a7bb-6aa83791aa97)) + (fp_line (start 1.88 0.98) (end -1.88 0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a5ba7e39-5274-46b1-9ccc-553e668ec84d)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b17096cb-c26f-4a71-b00b-91cdb3896249)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 42f8ee91-21b4-4cb3-881e-9e155e935df8)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e8c78735-bdc0-40d1-af7a-46080b188d97)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 79596f51-4997-4c82-bfe8-41231aaf5f63)) + (pad "1" smd roundrect (at -1.0375 0 270) (size 1.175 1.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.212766) + (net 12 "Net-(C10-Pad1)") (pintype "passive") (tstamp 3ec4c31f-265e-41a2-aaf4-1b96b7c2f5a0)) + (pad "2" smd roundrect (at 1.0375 0 270) (size 1.175 1.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.212766) + (net 2 "GND") (pintype "passive") (tstamp a4f7932c-3d65-4767-a60e-e9c0918d3591)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Connector_PinHeader_2.54mm:PinHeader_1x03_P2.54mm_Vertical" (layer "F.Cu") + (tstamp 5dc909f7-2ac1-4395-a39d-15c3cae0e0f9) + (at 129.54 143.779) + (descr "Through hole straight pin header, 1x03, 2.54mm pitch, single row") + (tags "Through hole pin header THT 1x03 2.54mm single row") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Generic connector, single row, 01x03, script generated (kicad-library-utils/schlib/autogen/connector/)") + (property "ki_keywords" "connector") + (path "/96430675-f9b1-4422-b476-d1cba74bc4ef") + (attr through_hole) + (fp_text reference "J6" (at 0 -2.33 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp b3f3c612-ab5f-4535-9191-8f1775ec8ff0) + ) + (fp_text value "PA Ctrl" (at 0 7.41) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 1e54a30c-425d-445e-a27b-421cf926b699) + ) + (fp_text user "${REFERENCE}" (at 0 2.54 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 36bc00ab-d358-44aa-8280-cd0731d52178) + ) + (fp_line (start -1.33 -1.33) (end 0 -1.33) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b7156172-f452-4152-a9d4-d2b14c0d27e0)) + (fp_line (start -1.33 0) (end -1.33 -1.33) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp acb34a77-17a3-4874-9abf-2c577eeb7a38)) + (fp_line (start -1.33 1.27) (end -1.33 6.41) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8f17b3f5-add3-4c55-af96-8b7551ed1732)) + (fp_line (start -1.33 1.27) (end 1.33 1.27) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7d97c586-f6e5-4f37-a80d-eaab69fa0f78)) + (fp_line (start -1.33 6.41) (end 1.33 6.41) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cdd25eb8-d44b-4bf0-b4e8-2d062c33638f)) + (fp_line (start 1.33 1.27) (end 1.33 6.41) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3c308855-cd16-46fa-a520-4de9b01ad704)) + (fp_line (start -1.8 -1.8) (end -1.8 6.85) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c629e1b2-87aa-45fc-a84e-2f1e9b189db7)) + (fp_line (start -1.8 6.85) (end 1.8 6.85) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8f3bbc0d-8430-4a66-accf-a80a1eaba770)) + (fp_line (start 1.8 -1.8) (end -1.8 -1.8) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f500d371-86e8-46cb-88b5-e02bff82f2e1)) + (fp_line (start 1.8 6.85) (end 1.8 -1.8) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f991ff11-7803-4bf4-962a-08a80ad3e263)) + (fp_line (start -1.27 -0.635) (end -0.635 -1.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ef3d2c23-18a8-42b1-8e07-006876a5cc30)) + (fp_line (start -1.27 6.35) (end -1.27 -0.635) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c3fbe8f5-2151-4484-8c72-5fda0480fc95)) + (fp_line (start -0.635 -1.27) (end 1.27 -1.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d8f9ab60-7530-47de-8911-daecd516a476)) + (fp_line (start 1.27 -1.27) (end 1.27 6.35) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f2a1a885-6c47-4341-99c0-f02d9816dba0)) + (fp_line (start 1.27 6.35) (end -1.27 6.35) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6532f623-7a08-4bf5-b135-b2f614d88045)) + (pad "1" thru_hole rect (at 0 0) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 42 "/EN_RX") (pinfunction "Pin_1") (pintype "passive") (tstamp ac76e98b-befe-454f-9fa4-62559ee50422)) + (pad "2" thru_hole oval (at 0 2.54) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 5 "+3V3") (pinfunction "Pin_2") (pintype "passive") (tstamp f276f4b4-db6f-4321-b2ab-327b3b9d2c08)) + (pad "3" thru_hole oval (at 0 5.08) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 63 "/EN_PA") (pinfunction "Pin_3") (pintype "passive") (tstamp c9ce7ccb-a4a8-4656-bac4-00dc534e6b1c)) + (model "${KICAD6_3DMODEL_DIR}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_1x03_P2.54mm_Vertical.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" (layer "F.Cu") + (tstamp 5eb12154-4f69-439d-924a-615aa13e946b) + (at 175.26 136.652) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "MPN" "") + (property "Need_order" "") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/05b32133-eed3-462a-a86d-3829c5e7b7b7") + (attr smd) + (fp_text reference "C23" (at 0 -1.68 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp 77a2b50b-aa95-4704-a7f5-4b631c653b8e) + ) + (fp_text value "7.5pF" (at 0 1.68) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp c8fe091e-9721-4264-a238-6c651f146bb0) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.08))) + (tstamp 764626ff-218e-4b75-b19c-0e286bf3649d) + ) + (fp_line (start -0.261252 -0.735) (end 0.261252 -0.735) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cb5d4de0-26bf-4a20-9d14-3491c4f1c55f)) + (fp_line (start -0.261252 0.735) (end 0.261252 0.735) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2bb4b7cd-0776-4afe-9fc6-77093c9d02f2)) + (fp_line (start -1.88 -0.98) (end 1.88 -0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 43ac3c70-cdbe-4a90-b470-35fffea892cf)) + (fp_line (start -1.88 0.98) (end -1.88 -0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ad9c8810-1d33-439f-ab06-44f216c1253e)) + (fp_line (start 1.88 -0.98) (end 1.88 0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 82e16902-0177-4047-879c-3c56e5cbb6ee)) + (fp_line (start 1.88 0.98) (end -1.88 0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2cf9983e-a879-4c00-b60d-6a7c425748d0)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 32fc006c-fe5f-40a9-9e15-7315af782774)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f345608a-234d-46ed-876b-277b2d0554d0)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp fe545b1a-7ff8-43f1-b4e7-46ee7be77d90)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 40b7d12b-138a-405e-adba-8457d090f29d)) + (pad "1" smd roundrect (at -1.0375 0) (size 1.175 1.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.212766) + (net 22 "Net-(C23-Pad1)") (pintype "passive") (tstamp 3482d9f6-ef7d-43e9-b92c-eebca2afd846)) + (pad "2" smd roundrect (at 1.0375 0) (size 1.175 1.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.212766) + (net 2 "GND") (pintype "passive") (tstamp 989f485f-bc18-4478-a5e2-d232df0257d7)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" (layer "F.Cu") + (tstamp 61343fd9-f17c-4ac6-8f0b-35e0a621ab15) + (at 139.192 105.6425 -90) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "MPN" "") + (property "Need_order" "") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/8435bacf-e8bc-45ad-83ee-e3b46d586e45") + (attr smd) + (fp_text reference "C8" (at 0 -1.68 -90 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp 19c6aeee-76a5-4792-9824-499fe619e17d) + ) + (fp_text value "100pF" (at 0 1.68 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp d898c321-fea8-4443-b985-9940ac4c88be) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.08))) + (tstamp 7544c22f-e60c-48d0-9034-061837e542be) + ) + (fp_line (start -0.261252 -0.735) (end 0.261252 -0.735) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ecf005a7-b901-44a8-b38e-ffc2ce3a5fea)) + (fp_line (start -0.261252 0.735) (end 0.261252 0.735) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9b68ad5b-60bf-4dcd-a776-fa7e6b19d5fd)) + (fp_line (start -1.88 -0.98) (end 1.88 -0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 858c9820-6623-415b-b599-5093b9f056ae)) + (fp_line (start -1.88 0.98) (end -1.88 -0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 05e8914c-6396-4dd0-bafd-6bf0a7720924)) + (fp_line (start 1.88 -0.98) (end 1.88 0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 89ddfa5c-77c6-4108-a78d-5ce006c42fcc)) + (fp_line (start 1.88 0.98) (end -1.88 0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ce456e97-207e-4e6a-a3f1-574419c84a82)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e7a0c840-fc3f-4a2a-aa78-65fcaf4f835a)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1be115b1-e4a8-400c-a66e-cc21c39f8350)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2604777b-f924-4e8d-b75f-48f345581f59)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 39100155-0f93-4830-9633-d4162aa57800)) + (pad "1" smd roundrect (at -1.0375 0 270) (size 1.175 1.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.212766) + (net 10 "/PIN_TX") (pintype "passive") (tstamp 5aa3a00e-aea3-40cd-810b-fc264a047ec0)) + (pad "2" smd roundrect (at 1.0375 0 270) (size 1.175 1.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.212766) + (net 2 "GND") (pintype "passive") (tstamp 2a938b76-cc72-41d3-9236-43dee2f05eaf)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" (layer "F.Cu") + (tstamp 61bd677e-7711-4118-a60e-65398a2733c8) + (at 162.56 86.614 -90) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "MPN" "VJ0805A680GXAPW1BC") + (property "Need_order" "") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/cd8eba15-d2e8-416a-b813-b274682588a6") + (attr smd) + (fp_text reference "C13" (at 3.048 0 -90 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp 773d988c-e2df-4165-8e71-439778aee967) + ) + (fp_text value "68pF" (at 0 1.68 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 3da53916-82e1-498d-9219-48c007f0db04) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.08))) + (tstamp d1660ea0-5dcc-497f-9277-1d6a9a23c112) + ) + (fp_line (start -0.261252 -0.735) (end 0.261252 -0.735) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f0e03fe8-e891-4567-84cd-ce83642461ab)) + (fp_line (start -0.261252 0.735) (end 0.261252 0.735) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a3587064-6184-4452-8bd1-6167d5f1c9d1)) + (fp_line (start -1.88 -0.98) (end 1.88 -0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 64c8d513-a056-48b0-a684-f8cc694a4738)) + (fp_line (start -1.88 0.98) (end -1.88 -0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c5bbe991-1f39-4f8b-928e-33d981249659)) + (fp_line (start 1.88 -0.98) (end 1.88 0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 39fa585c-3ac8-403a-aa33-ffa0da285148)) + (fp_line (start 1.88 0.98) (end -1.88 0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6375833c-fa47-48ee-8caa-dd5265e13080)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 927ff1d1-37cd-4264-8cda-492932b248d2)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 973fdefb-015c-4d62-a49d-7069b3c39080)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 69239afd-13f5-4c0f-8783-fe84f10ee96c)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ca5e5b0a-7e8a-46a6-9a2d-7458c284d7b7)) + (pad "1" smd roundrect (at -1.0375 0 270) (size 1.175 1.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.212766) + (net 2 "GND") (pintype "passive") (tstamp faa6455a-6095-4ea6-b96b-7154838ca1b8)) + (pad "2" smd roundrect (at 1.0375 0 270) (size 1.175 1.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.212766) + (net 15 "Net-(C13-Pad2)") (pintype "passive") (tstamp 7c7c22d0-51a5-43d7-854c-18558c8c28d4)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "F.Cu") + (tstamp 62f1c31f-fd93-406a-bf5f-9293b07f06b4) + (at 155.448 159.07 -90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Need_order" "0") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor") + (property "ki_keywords" "R res resistor") + (path "/7d58e1cf-8267-49f0-89c0-01506055f8fb") + (attr smd) + (fp_text reference "R15" (at 0 -1.43 -90 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp d15bb75d-300c-4b4d-918a-5405f3ab5806) + ) + (fp_text value "0" (at 0 1.43 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 3a133a95-fa56-4d0a-98a6-7e3eba9aa6ea) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp abc7bf95-513e-4afe-b3db-8c51a337347b) + ) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6c1146ca-1ef4-4f01-8009-f3864add97fb)) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 39dd5f79-1e56-42e2-b5b9-079497800fdd)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1b43fd03-1650-489b-9c57-c0fd47ab8d5f)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0e23c537-6d14-4fd2-90ab-fa82a5967da4)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b4c02130-bc27-4663-a62a-7bc55fa793fa)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp cbfacc5b-050c-4d23-a6a2-ca41823113cd)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp aafa0adc-9427-4d86-9140-dbc2d6b5d51e)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2422e1ef-50bb-47ce-8f80-41b58d677ceb)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 010077b0-5c2b-4ef5-b8ad-782213b34217)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3c613b5e-2132-4713-b0da-9c69ab7f33c8)) + (pad "1" smd roundrect (at -0.9125 0 270) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 60 "Net-(L11-Pad2)") (pintype "passive") (tstamp 432fddcf-d442-4d9c-9183-d2d14eb17ba5)) + (pad "2" smd roundrect (at 0.9125 0 270) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 62 "Net-(Q2-C)") (pintype "passive") (tstamp 950ef5f0-121a-40e0-ac05-8817391bdc9a)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Package_TO_SOT_SMD:SOT-23_Handsoldering" (layer "F.Cu") + (tstamp 6316b12b-a590-4da4-a5cc-129b6cdc36d3) + (at 148.844 156.464 90) + (descr "SOT-23, Handsoldering") + (tags "SOT-23") + (property "MPN" "BAT18") + (property "Need_order" "0") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Band Switching Diode") + (property "ki_keywords" "diode") + (path "/73cf5247-8417-44f2-9ae7-85bffcbfe253") + (attr smd) + (fp_text reference "D4" (at 0 -2.5 90 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp 6b701cb0-45bb-4ce3-8373-b3aa1214b1a7) + ) + (fp_text value "BAT18" (at 0 2.5 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 3f47042c-055b-4ae0-a172-62fe79910cc8) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.075))) + (tstamp a3379d2a-0601-410f-82a3-4d7158cf2f1a) + ) + (fp_line (start 0.76 -1.58) (end -2.4 -1.58) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ab8fa49a-8b06-444c-8792-b0043cfd4cf6)) + (fp_line (start 0.76 -1.58) (end 0.76 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2b3bcb27-6535-453d-a6c3-8a8f26d0c4b3)) + (fp_line (start 0.76 1.58) (end -0.7 1.58) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d49c11db-99c6-4fa1-a3ab-bfa6efed8dde)) + (fp_line (start 0.76 1.58) (end 0.76 0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a55c8d9a-a070-4698-84c6-482685410b9c)) + (fp_line (start -2.7 -1.75) (end 2.7 -1.75) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 49762411-14d1-40b9-8072-2155b8fc0c78)) + (fp_line (start -2.7 1.75) (end -2.7 -1.75) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1e003c65-654f-4183-93a6-57f62f56a7b2)) + (fp_line (start 2.7 -1.75) (end 2.7 1.75) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f80d2aa1-d471-4d71-b375-f494fe5d5359)) + (fp_line (start 2.7 1.75) (end -2.7 1.75) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2235f04f-05ba-4ec5-a2a6-2a9b0c39c08c)) + (fp_line (start -0.7 -0.95) (end -0.7 1.5) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b4e3a1a5-b566-4a74-8b2d-c792bdbd3d05)) + (fp_line (start -0.7 -0.95) (end -0.15 -1.52) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 461f0956-9c95-4302-a818-310902c195a8)) + (fp_line (start -0.7 1.52) (end 0.7 1.52) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5e7d7616-b9d3-4663-a50c-6463eb031ce2)) + (fp_line (start -0.15 -1.52) (end 0.7 -1.52) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp af288e69-e8f4-46e5-b0b1-0479674945a2)) + (fp_line (start 0.7 -1.52) (end 0.7 1.52) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 400fb152-9c9f-4dfe-bb85-dd3faa3fcdfa)) + (pad "1" smd rect (at -1.5 -0.95 90) (size 1.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask") + (net 20 "Net-(D4-A)") (pinfunction "A") (pintype "passive") (tstamp 50593e95-4029-4c89-a2dc-4bffa2a96559)) + (pad "2" smd rect (at -1.5 0.95 90) (size 1.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask") + (net 31 "unconnected-(D4-NC-Pad2)") (pinfunction "NC") (pintype "no_connect") (tstamp b177e0d9-4ba9-4682-bd4b-a7dd4c87b74b)) + (pad "3" smd rect (at 1.5 0 90) (size 1.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask") + (net 32 "Net-(D4-K)") (pinfunction "K") (pintype "passive") (tstamp 7343c316-db73-43f3-b143-a3628ccce3bb)) + (model "${KICAD6_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/SOT-23.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "mpb:L_Coilcraft_1812SMS" (layer "F.Cu") + (tstamp 64b9b8c8-5b8a-4b43-b03d-a61fdb07214e) + (at 156.21 99.314 90) + (descr "Coilcraft 1812SMS Midi Spring series") + (tags "L Coilcraft 1812SMS") + (property "MPN" "1812SMS-39NJLC") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Inductor, small symbol") + (property "ki_keywords" "inductor choke coil reactor magnetic") + (path "/2545dcbc-ed1d-4cce-9986-96880185e4fd") + (attr smd) + (fp_text reference "L7" (at 0 -5.3 90 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp 32a280e1-c63d-4eaa-b2dd-18a7292321fe) + ) + (fp_text value "39nH" (at 0 6.5 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 4ed1f38b-5b4f-4ff2-8e45-53b03877219e) + ) + (fp_text user "${REFERENCE}" (at 0 1.5 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 3ddafb74-1022-42e3-b495-3249f3f38bde) + ) + (fp_line (start -3.29 -4.39) (end -3.29 4.89) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 046237ca-9a63-4057-bf00-5f813f6e2ec6)) + (fp_line (start -3.29 -4.39) (end 3.18 -4.39) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 14e88e52-68fc-4253-852f-a2530801a47b)) + (fp_line (start -3.29 4.89) (end 3.18 4.89) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1208ba1e-a8ae-4469-ae28-6ec328a2be08)) + (fp_line (start -3.43 -4.53) (end -3.43 5.03) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c3c7bb9e-7b1c-4845-8f44-91f4925e89fb)) + (fp_line (start -3.43 -4.53) (end 3.43 -4.53) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp fa61ebde-eb62-4095-b3a9-7b59a35e6919)) + (fp_line (start -3.43 5.03) (end 3.43 5.03) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c88ccd97-183c-4fc8-8042-1dbb48cd9df6)) + (fp_line (start 3.43 -4.53) (end 3.43 5.03) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d018531e-4e68-4f9e-9f3b-b41bd34de76e)) + (fp_line (start -3.18 -3.28) (end -3.18 4.78) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c8576fbc-1df7-40ed-bda7-88cca7fec463)) + (fp_line (start -3.18 -3.28) (end -2.18 -4.28) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8a9977f6-4607-4800-9c6e-1eba0167c67b)) + (fp_line (start -3.18 4.78) (end 3.18 4.78) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp bb0c2c71-2ab4-4a8b-be1c-eb206a0ccf82)) + (fp_line (start -2.18 -4.28) (end 3.18 -4.28) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 107111a1-11d4-4e86-bffd-b8c9142194c1)) + (fp_line (start 3.18 -4.28) (end 3.18 4.78) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp aa09952f-0754-4bee-999f-2e8ddcb6ea8a)) + (pad "1" smd rect (at -2.02 1.5 90) (size 1.43 5.5) (layers "F.Cu" "F.Paste" "F.Mask") + (net 119 "Net-(L15-Pad2)") (pintype "passive") (tstamp 6d310489-92d2-4c77-bb03-062c7fefd716)) + (pad "2" smd rect (at 2.02 -1 90) (size 1.43 5.5) (layers "F.Cu" "F.Paste" "F.Mask") + (net 18 "Net-(J5-Pin_2)") (pintype "passive") (tstamp c9040680-d027-41ce-ad71-1e1192a13557)) + (model "${KISYS3DMOD}/Inductor_SMD.3dshapes/L_Coilcraft_XAL60xx_6.36x6.56mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" (layer "F.Cu") + (tstamp 67b66ee8-ee30-4249-b7eb-34a4eeabff77) + (at 147.828 161.29 -90) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "MPN" "") + (property "Need_order" "") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/d72cd1f8-2adf-4310-b1a2-1733acd64b34") + (attr smd) + (fp_text reference "C21" (at 0 -1.68 -90 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp 9b121758-f272-42c3-88c1-097d0e72878b) + ) + (fp_text value "100pF" (at 0 1.68 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp f3809e05-9aef-4f54-9eac-4ba9c306f663) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.08))) + (tstamp e30edc20-8544-415f-bac1-431987fc1ea4) + ) + (fp_line (start -0.261252 -0.735) (end 0.261252 -0.735) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6d26a01f-086c-4844-aa88-1fea9b5ca59e)) + (fp_line (start -0.261252 0.735) (end 0.261252 0.735) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f5cdb4f5-dbc6-4cb1-b7ee-b05350b41cf3)) + (fp_line (start -1.88 -0.98) (end 1.88 -0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0f51a624-d3e4-4321-836b-6a65a81909ee)) + (fp_line (start -1.88 0.98) (end -1.88 -0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 708d2d37-98d2-4624-9188-56c8a0b47fab)) + (fp_line (start 1.88 -0.98) (end 1.88 0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d690ce85-1a72-4276-90d9-9a07d6912174)) + (fp_line (start 1.88 0.98) (end -1.88 0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 73e9fd8f-d0ed-4791-8c78-998fd57e9824)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4d79bf5d-04d7-42a0-9142-e8964b1e2210)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 804eed82-e63b-4c85-8651-2ad751d4ddda)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a7cff4c5-a09c-4cc2-823f-354a7a4605d6)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f273bb60-d6a7-4b7a-bc05-d9e675f94d81)) + (pad "1" smd roundrect (at -1.0375 0 270) (size 1.175 1.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.212766) + (net 20 "Net-(D4-A)") (pintype "passive") (tstamp 9ccddc38-0e03-45df-8b89-139c1d810184)) + (pad "2" smd roundrect (at 1.0375 0 270) (size 1.175 1.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.212766) + (net 2 "GND") (pintype "passive") (tstamp 9918a3c0-fcd9-48c1-b64d-c2445d5429dd)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "F.Cu") + (tstamp 691d8972-696a-43c8-94c9-02458277a22f) + (at 159.512 151.384 180) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Need_order" "0") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor") + (property "ki_keywords" "R res resistor") + (path "/86193928-116a-4ecf-831d-ca251d0e7c65") + (attr smd) + (fp_text reference "R16" (at 0 -1.43 180 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp aa4df73f-997b-4ca4-aa01-f15f1f1ae5ec) + ) + (fp_text value "0" (at 0 1.43) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 9fbe9e8a-4819-4c95-8f12-bf86dea1f5c6) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp aa8d6e21-c5ff-4157-a08e-a5ae86a65a15) + ) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ac1fb7ad-3649-4764-818c-617449d0cb9d)) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d7ddd674-9755-481d-8ed6-164050df6155)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8671ed9a-4f9b-4b36-9ee6-b31dc684f13b)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d34c5f5a-6e90-4ff0-8834-18e34b5b7211)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a36ba503-c93d-484b-810f-25b9353e6e94)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c0cd18ce-39f7-438e-a09a-73151273a79d)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 61630f15-dc67-4950-ac17-7e9ac7419dcf)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5b703c6c-3d21-4912-a173-8a1c6d695e78)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3fa4d992-5643-45d5-808d-f3257d53668f)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a5d64de1-3999-4511-91fe-0be045b130b9)) + (pad "1" smd roundrect (at -0.9125 0 180) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 35 "Net-(D6-A)") (pintype "passive") (tstamp 266f9883-c3a7-4412-807a-383bcbf127c2)) + (pad "2" smd roundrect (at 0.9125 0 180) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 32 "Net-(D4-K)") (pintype "passive") (tstamp c49098c1-6a1e-461d-b7bf-15842a08e797)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" (layer "F.Cu") + (tstamp 6eaa3fee-7f55-4e4e-9a4c-420e08b82729) + (at 148.336 99.06 180) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "MPN" "08051A471GAT2A") + (property "Need_order" "0") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/6055223a-ccc0-4836-bb16-85ad9695b1b5") + (attr smd) + (fp_text reference "C18" (at 0 -1.68 180 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp 49f01f48-a099-40e5-ba7f-073decec86cc) + ) + (fp_text value "470pF" (at 0 1.68) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 9c2d8d4f-5be1-4ec6-b9ec-2b7e627fc0d7) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.08))) + (tstamp ce95e898-4d51-4932-96f7-cee2c5617dcc) + ) + (fp_line (start -0.261252 -0.735) (end 0.261252 -0.735) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7e517e5e-1be7-4512-9261-81242bb45b32)) + (fp_line (start -0.261252 0.735) (end 0.261252 0.735) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a74ac49d-da6a-4efc-b868-7942b8de3d48)) + (fp_line (start -1.88 -0.98) (end 1.88 -0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2165dd2f-d77e-40cb-acdc-46a16cc87666)) + (fp_line (start -1.88 0.98) (end -1.88 -0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e6f72b08-f646-463e-8697-9c06d00c7784)) + (fp_line (start 1.88 -0.98) (end 1.88 0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7a51cc4f-9353-4e2e-8ce7-784d53175dd7)) + (fp_line (start 1.88 0.98) (end -1.88 0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1ae32657-cef7-4a85-ac0f-0499e3121796)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9fb67b87-2c0e-4f53-a408-22a69c1a560c)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3de4fd68-a9d4-48c2-a1ac-37ebb01ac448)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e8191750-2bd4-42a0-a254-5ece5d556bf7)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8ba6981f-c638-4049-95e2-c5083254be57)) + (pad "1" smd roundrect (at -1.0375 0 180) (size 1.175 1.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.212766) + (net 18 "Net-(J5-Pin_2)") (pintype "passive") (tstamp 6b5e3c97-24e0-4ebf-9c99-f176e4322ca7)) + (pad "2" smd roundrect (at 1.0375 0 180) (size 1.175 1.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.212766) + (net 2 "GND") (pintype "passive") (tstamp 5965eefc-baed-42ed-9e5a-93c85981544b)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder" (layer "F.Cu") + (tstamp 72270f51-70aa-4a2c-8552-e1e90b1070bf) + (at 123.952 137.5145 90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "MPN" "GRM188R71H104KA93D") + (property "Need_order" "0") + (property "Sheetfile" "control.kicad_sch") + (property "Sheetname" "Control") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/717d30dc-b4e1-489a-b7b3-52f4b7c65672/0cf7edd1-c306-4be0-adf4-8308b620511a") + (attr smd) + (fp_text reference "C29" (at 0 -1.43 90 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp 639876a2-c174-49c7-961f-c8f0e5a8dc78) + ) + (fp_text value "0.1uF" (at 0 1.43 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 84440395-22c2-4914-b54a-c18acd7c408f) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 599c3de5-44ba-4dd3-a5cb-97cc2510f5e3) + ) + (fp_line (start -0.146267 -0.51) (end 0.146267 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1fd991f4-ef4a-4b11-89a6-0f9fcfdcf40b)) + (fp_line (start -0.146267 0.51) (end 0.146267 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6c5fc9f6-c724-40a6-a95f-5971af869dd1)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2489678b-d039-4052-9ccb-89174dafd528)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ff3b02c2-1a83-47fd-8780-c9999d18fc73)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3b6ee515-1f6d-4a6a-b610-a2f8af55dbb1)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a306d6a1-8c49-407d-95a4-cf7a98c7df55)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9969d2f6-3a40-401d-8688-ee41cb2b5d7a)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 184d6dd6-8603-4f59-b346-0dd4aad48b38)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7399a25a-e022-4a2f-b49f-2a8e92e9fb8e)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 94a23df9-5cd9-41e1-b901-14160a86e1fa)) + (pad "1" smd roundrect (at -0.8625 0 90) (size 1.075 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 5 "+3V3") (pintype "passive") (tstamp e39ab19c-c5ed-4958-87a3-9a71d6469e61)) + (pad "2" smd roundrect (at 0.8625 0 90) (size 1.075 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp e0ff721d-70a6-40ef-8c92-b5e3ff653b70)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" (layer "F.Cu") + (tstamp 760ccdd2-928f-44d0-9b74-a2119bc5982a) + (at 175.26 123.698) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "MPN" "") + (property "Need_order" "") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/98aac2c3-ee76-4280-aac9-39e1d85593d5") + (attr smd) + (fp_text reference "C22" (at 0 -1.68 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp 43950934-fef9-4123-8161-04845cc1592d) + ) + (fp_text value "18pF" (at 0 1.68) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 19d8c720-1847-42f7-92a0-fe32e5d3c882) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.08))) + (tstamp 233f7db1-5636-49aa-8e4f-9ed476f80c96) + ) + (fp_line (start -0.261252 -0.735) (end 0.261252 -0.735) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8a01fbf4-4e35-4d78-a7c1-d337363a5c8b)) + (fp_line (start -0.261252 0.735) (end 0.261252 0.735) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e06a6a4c-a2c9-495e-b1c2-6f404c4645a1)) + (fp_line (start -1.88 -0.98) (end 1.88 -0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 778a4890-85c0-4c07-ac1d-5778b805be1a)) + (fp_line (start -1.88 0.98) (end -1.88 -0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 297265da-e18c-482e-98d0-5770cc067aed)) + (fp_line (start 1.88 -0.98) (end 1.88 0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 953d2572-209b-47cf-bfa1-a2134b07f1ff)) + (fp_line (start 1.88 0.98) (end -1.88 0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7eafb741-ba03-479e-b8d8-215d77652edf)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 670a32bb-8804-4147-a4d5-2ff3837cf29f)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f321921b-ede6-475a-b693-0d0347ccf493)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ef85d0a1-0376-4ce7-a659-bc9e3ef1d23e)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9340f23a-f874-4840-b2e6-81e6f60bdcb1)) + (pad "1" smd roundrect (at -1.0375 0) (size 1.175 1.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.212766) + (net 21 "Net-(C22-Pad1)") (pintype "passive") (tstamp 26875f8b-b220-482b-a469-1bda9c75acd5)) + (pad "2" smd roundrect (at 1.0375 0) (size 1.175 1.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.212766) + (net 2 "GND") (pintype "passive") (tstamp 3de11876-f664-4872-9d03-a2e6692eb91b)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_1210_3225Metric_Pad1.33x2.70mm_HandSolder" (layer "F.Cu") + (tstamp 768a7e04-5e52-478c-b56a-f75f0f4dcbc1) + (at 147.574 101.854 180) + (descr "Capacitor SMD 1210 (3225 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "MPN" "stash tantalum") + (property "Need_order" "0") + (property "OriginalValue" "") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Polarized capacitor, small symbol") + (property "ki_keywords" "cap capacitor") + (path "/9ce396b8-a4a0-498b-b9a4-5bc799cec69e") + (attr smd) + (fp_text reference "C17" (at 0 -2.3 180 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp 0c7f7e25-3c34-46af-ae14-e4470a2941aa) + ) + (fp_text value "6.8uF" (at 0 2.3) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp ecdc9ec1-7d8a-4a60-8e93-2e8f2a8d85ad) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 15bd35f8-8bf0-4656-8b9d-e5ebf608ff78) + ) + (fp_line (start -0.711252 -1.36) (end 0.711252 -1.36) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c018dc9d-ea76-4f6d-b6ec-be2e6a75de9f)) + (fp_line (start -0.711252 1.36) (end 0.711252 1.36) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f4b793a2-983f-44ae-b34e-b411a76c3c9d)) + (fp_line (start -2.48 -1.6) (end 2.48 -1.6) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 063e8812-af09-4739-8557-9c689d831cb8)) + (fp_line (start -2.48 1.6) (end -2.48 -1.6) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4bf4bc4b-a397-411a-9909-592d38019416)) + (fp_line (start 2.48 -1.6) (end 2.48 1.6) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8af6a218-228d-4879-95e3-819687f2995c)) + (fp_line (start 2.48 1.6) (end -2.48 1.6) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp dc6de776-e904-4e2d-86d5-ec82d6af1de3)) + (fp_line (start -1.6 -1.25) (end 1.6 -1.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp dce6e758-b1f2-4aaf-961c-6d6181366968)) + (fp_line (start -1.6 1.25) (end -1.6 -1.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4a156226-c1c7-4fa6-8e44-d0d063684658)) + (fp_line (start 1.6 -1.25) (end 1.6 1.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2175aacd-7ec5-46f9-83b4-8237f6417985)) + (fp_line (start 1.6 1.25) (end -1.6 1.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1134e8c0-c33e-4bbc-a114-e6b289bbabf8)) + (pad "1" smd roundrect (at -1.5625 0 180) (size 1.325 2.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.188679) + (net 18 "Net-(J5-Pin_2)") (pintype "passive") (tstamp 43a60028-30b4-49ea-ba06-ea19785842ad)) + (pad "2" smd roundrect (at 1.5625 0 180) (size 1.325 2.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.188679) + (net 2 "GND") (pintype "passive") (tstamp d2c8a081-ad78-46e1-810f-120a1c8c9828)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_1210_3225Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "F.Cu") + (tstamp 78ec3b53-23e5-4bb3-9df8-a7b0faaaf195) + (at 113.03 159.512 -90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Need_order" "0") + (property "Sheetfile" "control.kicad_sch") + (property "Sheetname" "Control") + (property "ki_description" "Resistor") + (property "ki_keywords" "R res resistor") + (path "/717d30dc-b4e1-489a-b7b3-52f4b7c65672/84963987-d1af-4694-87fb-ba70c431a7ac") + (attr smd) + (fp_text reference "R37" (at 0 -1.43 -90 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp 1ada101d-ffd8-4471-b753-6584a5a772a9) + ) + (fp_text value "4.7k" (at 0 1.43 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 1234c763-e868-4b9f-acc1-b80e0f81bbef) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 7adaacdc-f881-4f29-88f6-d5586edc94fd) + ) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 108d5d87-b613-4ae9-9d29-954cf5912288)) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 64389948-d584-4f0c-aea1-6bda96a486a8)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 728a41fc-bd40-47fd-bf59-50b8d9962e80)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 405ab36d-ac2c-473d-9d14-86fe6012ece0)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp fbebe5f6-244a-4efd-bc3a-7100ebed1e7c)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5a0aed16-c5a6-4c1a-a3dd-f7a23d2647e7)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 592ae350-f626-45cd-9474-e13946b10dc3)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b13c19cb-296c-4bef-ac43-f78e43c9105f)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ae9aeb37-90cf-4bf3-9649-f44ea2b22318)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 59e4cc35-0589-444d-ad46-4bae6a38c849)) + (pad "1" smd roundrect (at -0.9125 0 270) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 5 "+3V3") (pintype "passive") (tstamp 1c57daac-c630-4617-82bd-24946db3c81b)) + (pad "2" smd roundrect (at 0.9125 0 270) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 45 "/Control/USB_DP") (pintype "passive") (tstamp 838b0441-84cc-4790-b5e0-18acefbe2512)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "F.Cu") + (tstamp 7cd74450-d3ef-435e-ab35-1855e26b7b7d) + (at 144.526 151.384 180) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Need_order" "0") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor") + (property "ki_keywords" "R res resistor") + (path "/b0a62caa-7993-4cf2-bab6-ca98769be65c") + (attr smd) + (fp_text reference "R13" (at 0 -1.43 180 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp 063affd9-2e3c-4c85-9a85-e9615f685fcd) + ) + (fp_text value "0" (at 0 1.43) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 3f00c392-2f9b-4ab2-b033-443dd8281421) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp dc3a2b8c-9431-4383-ac04-da709460689c) + ) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 61a1c86a-96cc-4736-96f7-a38ebd6e840f)) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0ffe49fa-9af6-4d20-8999-fd0ea1c90739)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 791fea0e-377e-406e-85ec-54d1274a5d7a)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a87ec578-629c-47cd-9ae8-b4881b8e35d0)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7e082572-b07c-4190-9f79-d55646dd3ed1)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e0bbfc2f-2ba2-499b-bc17-379535696f66)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ac28d65f-9c42-4e20-a284-3c6418cfffbd)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 98c168b3-7589-4e1e-b4a6-254802109342)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0b319415-718a-4985-8369-9041c254be50)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp df2d457e-7f88-4ede-b2c9-c4cbf467423d)) + (pad "1" smd roundrect (at -0.9125 0 180) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 32 "Net-(D4-K)") (pintype "passive") (tstamp 7425d09b-13db-4f40-9478-442202eedbef)) + (pad "2" smd roundrect (at 0.9125 0 180) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 28 "Net-(D2-A)") (pintype "passive") (tstamp d93447d7-ac03-4fa9-aee0-4a53fe5459bf)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" (layer "F.Cu") + (tstamp 7d602f00-bd0a-404f-ae45-4919fe323658) + (at 133.858 106.1505 180) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "MPN" "") + (property "Need_order" "") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/6a0df5d8-4191-4cd4-86f5-98736b07cf4b") + (attr smd) + (fp_text reference "C5" (at 0 -1.68 180 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp ed6d65d0-e5d7-47b4-bbe8-4e8878181928) + ) + (fp_text value "100pF" (at 0 1.68) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 165dba81-0292-4e16-8588-444f5f952bbc) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.08))) + (tstamp 815434ad-27b2-4e7d-bcbb-9581431f8f8a) + ) + (fp_line (start -0.261252 -0.735) (end 0.261252 -0.735) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 665c9f6e-045a-4a73-9107-246479bbdb0a)) + (fp_line (start -0.261252 0.735) (end 0.261252 0.735) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 67e9db32-92c8-4c60-9671-39509a2a7edc)) + (fp_line (start -1.88 -0.98) (end 1.88 -0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 132d037c-acdb-4195-bd49-9ce75bfba29f)) + (fp_line (start -1.88 0.98) (end -1.88 -0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp bba13b20-1e12-4b4a-927c-2cf32736ff00)) + (fp_line (start 1.88 -0.98) (end 1.88 0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e8e49fc6-4d6e-499e-a45b-bea3092787cc)) + (fp_line (start 1.88 0.98) (end -1.88 0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f097a155-8b40-4fd1-8886-40aba2b384ad)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 80a72d18-e8f3-4ba0-b721-b9813356b84c)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e114c064-d927-41d1-9867-3e7cc1fb3e96)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 71f6b956-6e96-4793-8c19-afb97b6599e8)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0bcd38f7-24db-4d06-8a97-9b6086cd0600)) + (pad "1" smd roundrect (at -1.0375 0 180) (size 1.175 1.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.212766) + (net 6 "Net-(D1-K)") (pintype "passive") (tstamp 2ae68298-a753-4b78-9b63-fa3fd8859e28)) + (pad "2" smd roundrect (at 1.0375 0 180) (size 1.175 1.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.212766) + (net 7 "Net-(J3-In)") (pintype "passive") (tstamp 9a773f54-1972-4743-8b82-3e6d70cb783a)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "F.Cu") + (tstamp 7dc6cf1d-d48b-49c9-8a73-d46cb2acd248) + (at 103.632 79.883 180) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Need_order" "0") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "dnp" "") + (property "ki_description" "Resistor") + (property "ki_keywords" "R res resistor") + (path "/8e17e0d1-c035-43f4-81c1-9145c78292e0") + (attr smd) + (fp_text reference "R6" (at 3.683 0 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp bf1beebf-5678-4b94-b896-afedeebe1cd5) + ) + (fp_text value "0" (at 0 1.43) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp ec18deca-8f4f-4b27-98de-4ead36f7c463) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 87bdeafd-7b21-4764-8516-7a355cc61bf4) + ) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e194cb0e-51a4-4ea6-8c28-6799788f28c0)) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f3382867-de34-4804-b0ec-c218151b3e77)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6ee7e7b8-5c61-4b14-b906-3c947ecd6b02)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp bab67f10-e5f2-4622-ba80-bbcc1aa3bdfb)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp bdb99715-ef8d-4862-8114-c01249b523c4)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3b44fc0a-3bd4-49cb-87ab-86f993c53f94)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 27091653-2e07-4988-bdf7-ee0dc4531f97)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a06653a7-e4f3-414e-89e6-323175c1741a)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp edb4116f-89ce-4956-be27-058822e52cf2)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5f3decbc-603e-4ee0-aae1-e958066cc727)) + (pad "1" smd roundrect (at -0.9125 0 180) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 74 "Net-(U3-SCL{slash}SPI_CLK)") (pintype "passive") (tstamp e71f7cde-672c-4f61-9335-b12cf7a4b65a)) + (pad "2" smd roundrect (at 0.9125 0 180) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 75 "/Control/SCL") (pintype "passive") (tstamp 9a3129f9-dc38-48cb-b299-fd5abcc5c3a9)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "F.Cu") + (tstamp 7e43ab67-e852-4504-a749-f1ec99466c57) + (at 122.936 78.867 180) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Need_order" "0") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor") + (property "ki_keywords" "R res resistor") + (path "/71c14194-2f0b-492c-990c-0766a8a6d99b") + (attr smd) + (fp_text reference "R8" (at 0 -1.43 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp ac317c52-9c8c-40c2-bb14-56ca83e8b12e) + ) + (fp_text value "10" (at 0 1.43) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp cc573358-f511-43f3-b0ef-f2b25c4b9a56) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 55f37c69-2755-4878-928a-fe5d7e268e09) + ) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp aab1b608-1449-4cef-8d5d-36fbbec29866)) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d4ea629e-2380-407d-a719-6e676c16ecfa)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 60cdddad-56a9-4316-8d47-b1873e5eebd8)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 93ec1513-b077-40f6-a088-3059d6fad4b1)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp bb5ceead-7883-46f9-b679-9df47b5ee73f)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9fa81d79-6de3-4cb4-8133-415be6240fa7)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 58503500-9753-466e-adad-86e017ccb476)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8ed10b21-1060-4325-bd51-0c092b898607)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp cad05c18-c7f5-4ee3-95a4-196361c30f6f)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 224dc171-a6b9-4846-af18-84dfdc6082b6)) + (pad "1" smd roundrect (at -0.9125 0 180) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 11 "Net-(C9-Pad2)") (pintype "passive") (tstamp 18737915-94ba-4cc1-a030-549b21e21ee1)) + (pad "2" smd roundrect (at 0.9125 0 180) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 76 "Net-(U3-VCC_RF)") (pintype "passive") (tstamp 4cab2809-0bac-48b3-9e7f-88d462141499)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Connector_PinHeader_2.54mm:PinHeader_1x05_P2.54mm_Vertical" (layer "F.Cu") + (tstamp 801cc22a-51be-493a-b895-d065a7f3c11d) + (at 117.6045 158.42 90) + (descr "Through hole straight pin header, 1x05, 2.54mm pitch, single row") + (tags "Through hole pin header THT 1x05 2.54mm single row") + (property "MPN" "") + (property "Need_order" "0") + (property "Sheetfile" "control.kicad_sch") + (property "Sheetname" "Control") + (property "ki_description" "Generic connector, single row, 01x05, script generated (kicad-library-utils/schlib/autogen/connector/)") + (property "ki_keywords" "connector") + (path "/717d30dc-b4e1-489a-b7b3-52f4b7c65672/d9034851-941c-4dc5-ac16-ef91818dd1ef") + (attr through_hole) + (fp_text reference "J10" (at 0 -2.33 90 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp 5111ade4-a24e-4863-8dc4-dd02609a98ce) + ) + (fp_text value "PROG" (at 0 12.49 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp be8d4899-1a4e-468f-8513-91e01fca623a) + ) + (fp_text user "${REFERENCE}" (at 0 5.08) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 8b0694e9-af04-4ec3-8719-91c2eafa5bc5) + ) + (fp_line (start -1.33 -1.33) (end 0 -1.33) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b879a719-0ec2-427c-9a92-4898fa28a2c5)) + (fp_line (start -1.33 0) (end -1.33 -1.33) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp afb9b7c1-1277-4a97-8b6c-c6a501b1ec82)) + (fp_line (start -1.33 1.27) (end -1.33 11.49) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c9dd4a6b-3dca-4c2a-835e-96af2b933118)) + (fp_line (start -1.33 1.27) (end 1.33 1.27) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fc1d8fbd-1855-48cb-94cc-da1196b6f988)) + (fp_line (start -1.33 11.49) (end 1.33 11.49) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1b2c20d4-e784-4759-bc4a-1711adfd6174)) + (fp_line (start 1.33 1.27) (end 1.33 11.49) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5b20d279-9135-4b27-ae70-6a0e0e2b9f9f)) + (fp_line (start -1.8 -1.8) (end -1.8 11.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 68f4dcf3-4a4c-4e2d-9a99-84a25cd3f9e1)) + (fp_line (start -1.8 11.95) (end 1.8 11.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0e1d68d5-15a5-4e9b-aada-56257aac4af5)) + (fp_line (start 1.8 -1.8) (end -1.8 -1.8) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 958f15c1-ea06-4685-a14c-b51f7439daae)) + (fp_line (start 1.8 11.95) (end 1.8 -1.8) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7aefa246-7756-413a-944f-9102d11740c5)) + (fp_line (start -1.27 -0.635) (end -0.635 -1.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 42e9bc5a-6200-4809-8dfa-d442a79a1086)) + (fp_line (start -1.27 11.43) (end -1.27 -0.635) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 13fb941d-8776-46f1-b469-9ddfe07e321e)) + (fp_line (start -0.635 -1.27) (end 1.27 -1.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8a76869b-64c5-4215-b86b-06afc962efa5)) + (fp_line (start 1.27 -1.27) (end 1.27 11.43) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp bbf92594-08e0-4bd9-8360-a84d3d1eb160)) + (fp_line (start 1.27 11.43) (end -1.27 11.43) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6176a5f5-1908-47b3-a86e-0751dcbb53cb)) + (pad "1" thru_hole rect (at 0 0 90) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 5 "+3V3") (pinfunction "Pin_1") (pintype "passive") (tstamp 25e40202-5515-4d61-8c58-612de971053b)) + (pad "2" thru_hole oval (at 0 2.54 90) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 47 "/Control/SWCLK") (pinfunction "Pin_2") (pintype "passive") (tstamp 5f736a59-61fc-4970-ba16-e186db8008f3)) + (pad "3" thru_hole oval (at 0 5.08 90) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 2 "GND") (pinfunction "Pin_3") (pintype "passive") (tstamp 2318018f-ef85-4478-8b0f-9593856e4e37)) + (pad "4" thru_hole oval (at 0 7.62 90) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 48 "/Control/SWDIO") (pinfunction "Pin_4") (pintype "passive") (tstamp ad087c2c-e85e-479f-837c-65f38e08599a)) + (pad "5" thru_hole oval (at 0 10.16 90) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 49 "/Control/RESETn") (pinfunction "Pin_5") (pintype "passive") (tstamp 297871a1-c8b7-42cd-a52e-2beb3f59c6db)) + (model "${KICAD6_3DMODEL_DIR}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_1x05_P2.54mm_Vertical.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Connector_USB:USB_Micro-B_Molex-105017-0001" (layer "F.Cu") + (tstamp 8181cc28-8935-4d6e-9af8-1790cb2c82ea) + (at 110.998 164.338) + (descr "http://www.molex.com/pdm_docs/sd/1050170001_sd.pdf") + (tags "Micro-USB SMD Typ-B") + (property "MPN" "Molex 104017-0001") + (property "Need_order" "0") + (property "Sheetfile" "control.kicad_sch") + (property "Sheetname" "Control") + (property "ki_description" "USB Micro Type B connector") + (property "ki_keywords" "connector USB micro") + (path "/717d30dc-b4e1-489a-b7b3-52f4b7c65672/98c7d0b1-b7b7-4622-b75a-e0cc209168f8") + (attr smd) + (fp_text reference "J9" (at 0 -3.1125 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp bef04477-b161-499f-a8fa-0c3ecb5da5f8) + ) + (fp_text value "USB" (at 0.3 4.3375) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 2c34bef2-39f2-479b-b41e-899a1fe685a9) + ) + (fp_text user "PCB Edge" (at 0 2.6875) (layer "Dwgs.User") + (effects (font (size 0.5 0.5) (thickness 0.08))) + (tstamp d9fc2daf-7e93-49ef-940f-a1a37afc0f7b) + ) + (fp_text user "${REFERENCE}" (at 0 0.8875) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 21a04bfc-df7d-41e4-b008-c9de6f35989b) + ) + (fp_line (start -3.9 -1.7625) (end -3.45 -1.7625) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3025169c-8b59-4e63-868f-25737017639c)) + (fp_line (start -3.9 0.0875) (end -3.9 -1.7625) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 36917a2d-e297-4bc2-a378-50ff381433df)) + (fp_line (start -3.9 2.6375) (end -3.9 2.3875) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d512d58b-8dc1-4f20-8425-c021cdf90afa)) + (fp_line (start -1.7 -2.3125) (end -1.7 -1.8625) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 80674c0b-5b61-437e-b7ec-9612b0ca261c)) + (fp_line (start -1.7 -2.3125) (end -1.25 -2.3125) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 675ba8bf-54a1-4f04-a4c1-4ffd1b1d8903)) + (fp_line (start 3.9 -1.7625) (end 3.45 -1.7625) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7de48f3f-4027-4f61-a723-89f1a6277bd2)) + (fp_line (start 3.9 0.0875) (end 3.9 -1.7625) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 31cb6d57-92dc-46db-937d-602ab6964db5)) + (fp_line (start 3.9 2.6375) (end 3.9 2.3875) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 87fcff49-47b4-490d-8941-a735e16f7228)) + (fp_line (start -4.4 -2.46) (end 4.4 -2.46) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp bd916547-086d-48f1-ab05-5adf6c316a4f)) + (fp_line (start -4.4 3.64) (end -4.4 -2.46) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 84128628-42b4-47bb-985a-3cc654099896)) + (fp_line (start -4.4 3.64) (end 4.4 3.64) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c0038769-8790-421d-a3d3-bb27749ae923)) + (fp_line (start 4.4 -2.46) (end 4.4 3.64) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 87360d0e-d8f9-4ce6-b978-cb62be5b0f1f)) + (fp_line (start -3.75 -1.6125) (end 3.75 -1.6125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d17f2677-4ab2-4959-abca-83490574daaf)) + (fp_line (start -3.75 3.3875) (end -3.75 -1.6125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0dfd26d5-7355-4b31-a1bd-776e1f82486c)) + (fp_line (start -3.75 3.389204) (end 3.75 3.389204) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9db0afed-c2dc-40cd-bbc0-87801a2dc1e4)) + (fp_line (start -3 2.689204) (end 3 2.689204) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e2d618f5-9725-4f76-a6d9-a30f2371c15d)) + (fp_line (start -1.5 -2.1225) (end -1.5 -1.9125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e6ecea62-d95a-4f43-a17e-bfb364d0b753)) + (fp_line (start -1.5 -2.1225) (end -1.1 -2.1225) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 022c51e0-096c-4b8e-9ade-7b259666b8f7)) + (fp_line (start -1.3 -1.7125) (end -1.5 -1.9125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b6e99e09-73a5-4a39-bbb3-d82b70f359c8)) + (fp_line (start -1.1 -2.1225) (end -1.1 -1.9125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp cafaf547-31eb-4975-970c-e935fad410da)) + (fp_line (start -1.1 -1.9125) (end -1.3 -1.7125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0f64d3a3-01a4-432e-b338-a87f1adac6b4)) + (fp_line (start 3.75 3.3875) (end 3.75 -1.6125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp aa3b6869-dc13-407b-bcdc-c2484f0b1e44)) + (pad "1" smd rect (at -1.3 -1.4625) (size 0.4 1.35) (layers "F.Cu" "F.Paste" "F.Mask") + (net 43 "unconnected-(J9-VBUS-Pad1)") (pinfunction "VBUS") (pintype "power_out+no_connect") (tstamp 696549b1-b079-4401-a7a2-60a98c7d37ae)) + (pad "2" smd rect (at -0.65 -1.4625) (size 0.4 1.35) (layers "F.Cu" "F.Paste" "F.Mask") + (net 44 "/Control/USB_DM") (pinfunction "D-") (pintype "bidirectional") (tstamp 2f587459-2f40-42e3-927a-990949d2dd82)) + (pad "3" smd rect (at 0 -1.4625) (size 0.4 1.35) (layers "F.Cu" "F.Paste" "F.Mask") + (net 45 "/Control/USB_DP") (pinfunction "D+") (pintype "bidirectional") (tstamp 7d1812fe-c21e-4096-9bde-3dbd148a4c77)) + (pad "4" smd rect (at 0.65 -1.4625) (size 0.4 1.35) (layers "F.Cu" "F.Paste" "F.Mask") + (net 46 "unconnected-(J9-ID-Pad4)") (pinfunction "ID") (pintype "passive+no_connect") (tstamp 150bc7fa-e3a9-482b-b272-b0d6f7cada1d)) + (pad "5" smd rect (at 1.3 -1.4625) (size 0.4 1.35) (layers "F.Cu" "F.Paste" "F.Mask") + (net 2 "GND") (pinfunction "GND") (pintype "power_out") (tstamp 14b92e6a-3302-4989-a56f-1ba212d7c4ac)) + (pad "6" thru_hole oval (at -3.5 1.2375 180) (size 1.2 1.9) (drill oval 0.6 1.3) (layers "*.Cu" "*.Mask") + (net 2 "GND") (pinfunction "Shield") (pintype "passive") (tstamp e128067d-23b1-41e4-ab9a-aed22ce929df)) + (pad "6" smd rect (at -2.9 1.2375) (size 1.2 1.9) (layers "F.Cu" "F.Mask") + (net 2 "GND") (pinfunction "Shield") (pintype "passive") (tstamp 1cc20e13-face-4ae5-b42c-faac64cec8e9)) + (pad "6" thru_hole circle (at -2.5 -1.4625) (size 1.45 1.45) (drill 0.85) (layers "*.Cu" "*.Mask") + (net 2 "GND") (pinfunction "Shield") (pintype "passive") (tstamp 4fdbae7e-2cdd-4e65-b79d-f83746b5b4eb)) + (pad "6" smd rect (at -1 1.2375) (size 1.5 1.9) (layers "F.Cu" "F.Paste" "F.Mask") + (net 2 "GND") (pinfunction "Shield") (pintype "passive") (tstamp ccca5849-8275-4ac9-b8be-4163eeb11af4)) + (pad "6" smd rect (at 1 1.2375) (size 1.5 1.9) (layers "F.Cu" "F.Paste" "F.Mask") + (net 2 "GND") (pinfunction "Shield") (pintype "passive") (tstamp 4ea761ea-fba2-4f2f-b149-7c419ee7d194)) + (pad "6" thru_hole circle (at 2.5 -1.4625) (size 1.45 1.45) (drill 0.85) (layers "*.Cu" "*.Mask") + (net 2 "GND") (pinfunction "Shield") (pintype "passive") (tstamp eab34da9-f00b-4956-aad6-de6591f8b8a7)) + (pad "6" smd rect (at 2.9 1.2375) (size 1.2 1.9) (layers "F.Cu" "F.Mask") + (net 2 "GND") (pinfunction "Shield") (pintype "passive") (tstamp e05d494d-3b92-4e99-8722-d4db96f5bd8b)) + (pad "6" thru_hole oval (at 3.5 1.2375) (size 1.2 1.9) (drill oval 0.6 1.3) (layers "*.Cu" "*.Mask") + (net 2 "GND") (pinfunction "Shield") (pintype "passive") (tstamp 7319db80-3207-4727-923c-a67838734456)) + (model "${KICAD6_3DMODEL_DIR}/Connector_USB.3dshapes/USB_Micro-B_Molex-105017-0001.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" (layer "F.Cu") + (tstamp 85b1837c-c7a2-499b-a2e0-de77bcdfe0de) + (at 116.078 133.35) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "MPN" "08055C105JAT2A") + (property "Need_order" "0") + (property "Sheetfile" "control.kicad_sch") + (property "Sheetname" "Control") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/717d30dc-b4e1-489a-b7b3-52f4b7c65672/42567ae3-6fb5-4c91-b9e9-b05139958f8d") + (attr smd) + (fp_text reference "C33" (at 0 -1.68 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp f4ad2e42-0c68-43dc-8d1b-1c173928df70) + ) + (fp_text value "1uF" (at 0 1.68) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 554df5bd-4be8-4801-bdda-499bff7e74f6) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.08))) + (tstamp 4ab7e2ec-50ed-4ca7-8fae-f05917164f00) + ) + (fp_line (start -0.261252 -0.735) (end 0.261252 -0.735) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bb257060-7f82-4dea-a94d-092d9f13b21e)) + (fp_line (start -0.261252 0.735) (end 0.261252 0.735) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8e26d3ea-4b54-4ea8-951a-2a17d90c7147)) + (fp_line (start -1.88 -0.98) (end 1.88 -0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 326497f5-dd52-422f-a5f6-47568dc53f40)) + (fp_line (start -1.88 0.98) (end -1.88 -0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 97c059bd-ad78-4e7b-a418-2704552e0421)) + (fp_line (start 1.88 -0.98) (end 1.88 0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 04c1bcca-c1fb-406d-9ff4-7198d0a7ca4d)) + (fp_line (start 1.88 0.98) (end -1.88 0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 80f04806-484e-406f-9637-5e2f4e228219)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 195942ac-fb97-4b3f-9552-b93ad16cf5fc)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0d4c310b-75c7-439f-a7e9-6a41a55be09d)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c1dda88b-3bc2-4a28-83f7-095524171aaf)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp cbc21fd6-6328-429f-917b-ee58d0b90da6)) + (pad "1" smd roundrect (at -1.0375 0) (size 1.175 1.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.212766) + (net 2 "GND") (pintype "passive") (tstamp 2965480c-dd97-4cc0-b431-511f8ab17021)) + (pad "2" smd roundrect (at 1.0375 0) (size 1.175 1.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.212766) + (net 26 "Net-(U5-VDDA)") (pintype "passive") (tstamp 0bd3f6f5-d018-4349-94a3-b55c05170a4a)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" (layer "F.Cu") + (tstamp 863fcd93-2de4-4cbd-b164-95a857e02c13) + (at 162.433 157.353 -90) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "MPN" "") + (property "Need_order" "") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/baf8d2f7-f075-4406-be9c-c306ad700d99") + (attr smd) + (fp_text reference "C27" (at 0 -1.68 -90 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp ab5fd689-1138-4ae0-bafa-69e65d831402) + ) + (fp_text value "100pF" (at 0 1.68 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp a3b5f51e-1f7e-40f3-a731-5d9a50f30c40) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.08))) + (tstamp 9c21b9c0-8f90-43fb-9cc8-7ee2d6350c97) + ) + (fp_line (start -0.261252 -0.735) (end 0.261252 -0.735) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fdf748dc-a175-42e6-9ee2-139e00cf3f3a)) + (fp_line (start -0.261252 0.735) (end 0.261252 0.735) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 37ed2a06-6b4d-4270-9841-b2dcbf9fda0c)) + (fp_line (start -1.88 -0.98) (end 1.88 -0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2e6d3e29-3d59-43aa-acb4-c86dc8e29da8)) + (fp_line (start -1.88 0.98) (end -1.88 -0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 53fc2642-7690-4af3-b818-9c6aa0f71738)) + (fp_line (start 1.88 -0.98) (end 1.88 0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1f8bc0ee-0522-4573-bb6e-9ba63e5273ec)) + (fp_line (start 1.88 0.98) (end -1.88 0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3d8efa27-3ec8-4f39-b3ab-cf1ffbf63477)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ac0a7f47-0bfb-4e56-bd18-0d24c839cddf)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e38d046d-8772-4504-85f3-034c9ec36b0d)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 60bbc113-8291-490f-bfd8-2d05dcf7b9ce)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4c366d00-888d-4043-86b3-530e2f7e4b1d)) + (pad "1" smd roundrect (at -1.0375 0 270) (size 1.175 1.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.212766) + (net 25 "/PIN_RX") (pintype "passive") (tstamp a9bf43b5-ad20-41a2-af66-732015496c9f)) + (pad "2" smd roundrect (at 1.0375 0 270) (size 1.175 1.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.212766) + (net 2 "GND") (pintype "passive") (tstamp 3bf7e15c-2997-42c4-82e6-3a747dc8ab2d)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" (layer "F.Cu") + (tstamp 8ad7d264-e03a-4cf0-9371-bcd261357a4e) + (at 160.528 86.614 -90) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "MPN" "VJ0805A680GXAPW1BC") + (property "Need_order" "") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/fb086b2f-065c-42c6-8d04-eb338238b5f9") + (attr smd) + (fp_text reference "C15" (at 3.048 0 -90 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp c2888b1b-40a6-4971-95f1-8c9b730c4465) + ) + (fp_text value "68pF" (at 0 1.68 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 4db34892-fb64-4933-a6bd-301528e24e93) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.08))) + (tstamp 131b68b8-6bf5-4aa7-a2e8-540cbc8d6b4f) + ) + (fp_line (start -0.261252 -0.735) (end 0.261252 -0.735) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7fae6c5c-aa83-4aa1-9e5a-a82d1a040a86)) + (fp_line (start -0.261252 0.735) (end 0.261252 0.735) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 31e87884-0564-4310-992e-fb55fd00c2a1)) + (fp_line (start -1.88 -0.98) (end 1.88 -0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2dc0bb4e-089e-4fdd-9941-def3edf44306)) + (fp_line (start -1.88 0.98) (end -1.88 -0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp cdbaf99a-f098-4f5e-8c73-506db9c65db6)) + (fp_line (start 1.88 -0.98) (end 1.88 0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1d9bd5d7-7913-4af2-877e-51702cca81ab)) + (fp_line (start 1.88 0.98) (end -1.88 0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f98cda45-3870-4eb2-91d8-295057827eae)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9a4e9253-561e-498f-b791-a8ce3830a39a)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ef51e6df-5664-4daf-8875-21cd7dae1730)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a1c73b47-21fa-4921-b382-6f9393ed5093)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5c4dae90-2f13-4494-a190-7e8d03557301)) + (pad "1" smd roundrect (at -1.0375 0 270) (size 1.175 1.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.212766) + (net 2 "GND") (pintype "passive") (tstamp 6048bae7-60a3-4d8d-9a63-8d9062083e68)) + (pad "2" smd roundrect (at 1.0375 0 270) (size 1.175 1.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.212766) + (net 15 "Net-(C13-Pad2)") (pintype "passive") (tstamp 03067725-c67c-4c8c-8812-818476858983)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Inductor_SMD:L_0805_2012Metric_Pad1.05x1.20mm_HandSolder" (layer "F.Cu") + (tstamp 953d5e76-1031-4e60-b5dc-d2ab88fd0eff) + (at 138.938 110.2145 90) + (descr "Inductor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 80, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "inductor handsolder") + (property "MPN" "LQW2BASR18G00L") + (property "Need_order" "0") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Inductor") + (property "ki_keywords" "inductor choke coil reactor magnetic") + (path "/77270ce0-4440-4e17-a7c3-8734e81536d3") + (attr smd) + (fp_text reference "L1" (at 0 -1.55 90 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp 1dcc747d-1f71-43a8-87bc-1d5de8ac7363) + ) + (fp_text value "180nH" (at 0 1.55 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 8819eadd-c5e6-4c7f-beee-2828949043cb) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.08))) + (tstamp 72848f8f-bce6-48be-bd47-c74b2f39e0d7) + ) + (fp_line (start -0.410242 -0.56) (end 0.410242 -0.56) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2c66ec88-9a9c-4a20-9191-66ca0b646eb8)) + (fp_line (start -0.410242 0.56) (end 0.410242 0.56) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4ed16e6b-fc2c-4146-ad52-221675730b84)) + (fp_line (start -1.92 -0.85) (end 1.92 -0.85) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4e6ba760-6747-4f59-847c-86d46a370be1)) + (fp_line (start -1.92 0.85) (end -1.92 -0.85) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 60411eea-daaa-40fe-986e-a5f1601a941f)) + (fp_line (start 1.92 -0.85) (end 1.92 0.85) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 01980453-b32c-4613-bb01-78c485b06d7a)) + (fp_line (start 1.92 0.85) (end -1.92 0.85) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp cf839fa4-ffca-4764-866c-6eb4bd26ceaa)) + (fp_line (start -1 -0.45) (end 1 -0.45) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2e78167e-78ff-495e-9a60-4ae525c192e9)) + (fp_line (start -1 0.45) (end -1 -0.45) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2f802e05-dae9-4125-95df-a6a60eb9ef22)) + (fp_line (start 1 -0.45) (end 1 0.45) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp dbac074c-279a-49f4-b3bf-d704a17ee005)) + (fp_line (start 1 0.45) (end -1 0.45) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1091d1e7-3174-48d0-a0cb-b07a696c3cc4)) + (pad "1" smd roundrect (at -1.15 0 90) (size 1.05 1.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.238095) + (net 8 "Net-(C6-Pad1)") (pinfunction "1") (pintype "passive") (tstamp f0e03558-f988-41d5-91b4-65e265c2a014)) + (pad "2" smd roundrect (at 1.15 0 90) (size 1.05 1.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.238095) + (net 6 "Net-(D1-K)") (pinfunction "2") (pintype "passive") (tstamp 9aa64660-aeba-4449-a956-97614f3556d4)) + (model "${KICAD6_3DMODEL_DIR}/Inductor_SMD.3dshapes/L_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "F.Cu") + (tstamp 9749af3a-5a62-41e4-a3c0-5e0297431bed) + (at 103.632 78.359 180) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Need_order" "0") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "dnp" "") + (property "ki_description" "Resistor") + (property "ki_keywords" "R res resistor") + (path "/9bdc588c-8066-42ec-9e22-1ac5e202b910") + (attr smd) + (fp_text reference "R5" (at 3.683 0 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp e38ebc1a-b67d-45f9-8465-f71a440578d8) + ) + (fp_text value "0" (at 0 1.43) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp f47fa58e-949a-4a7d-a61f-7b81400792ef) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp a0c1342d-a0dd-4d2e-8030-0031bd62d2c5) + ) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e3b0c036-1762-448f-abe6-11197da0ddc0)) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 62364900-f1b5-47f5-b02e-85d5e5f0470f)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a315f934-e5f0-452a-818d-3e3b711f3813)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5b74a217-f022-40a0-a667-207ddae06d90)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 337893b9-d5b9-49a6-a882-593bfcd7a8d2)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2a269389-c495-4273-bd66-ede5cd75d87b)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9347b747-5ff4-49ad-a061-9cff44f105a0)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4d644b5e-c8c3-4a93-9659-97785d0bd846)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f6abf2b4-b130-4c58-8e27-9639047e3a0f)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3f8a23a4-76f7-4182-b9a1-3ec1ad6e275e)) + (pad "1" smd roundrect (at -0.9125 0 180) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 72 "Net-(U3-SDA{slash}~{SPI_CS})") (pintype "passive") (tstamp 3e3e4cc2-f379-48d6-8731-d90b90a9c314)) + (pad "2" smd roundrect (at 0.9125 0 180) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 73 "/Control/SDA") (pintype "passive") (tstamp 4fba5ae8-fa0b-4439-8ea1-f5bbf2fa36e5)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "F.Cu") + (tstamp 974d4008-079f-47dd-975b-ac1ad8b5468d) + (at 102.616 136.144 180) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Need_order" "0") + (property "Sheetfile" "control.kicad_sch") + (property "Sheetname" "Control") + (property "ki_description" "Resistor") + (property "ki_keywords" "R res resistor") + (path "/717d30dc-b4e1-489a-b7b3-52f4b7c65672/fb5fe782-f1cf-45ab-9f68-bb5382943e35") + (attr smd) + (fp_text reference "R26" (at 0 -1.43 180 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp 31bef0ed-57e5-4e5c-b754-4d942b7b5d10) + ) + (fp_text value "510" (at 0 1.43) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 36644886-d243-4ced-af67-14e6c3167be9) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp e458fe87-66b5-4705-ae86-ff788e31b4fd) + ) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8362a8e3-9cf7-43dc-b435-c26ec26656cd)) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6c79a0a3-7e79-4e16-813f-112d186de9ba)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e73cea2c-84fd-4b39-944f-3e6748b5db23)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3907969f-57ba-414a-9775-68bf69e34a41)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ec318dc1-fd4b-4e78-ab3f-8b08914cf155)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8d0e3b09-e4f5-494b-a9c7-596ce125093e)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c850085d-c29d-4703-a5a7-26be16e2d6ac)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 44ae264c-546b-4f8f-acde-fc757f525f80)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c9916fe9-cecf-4445-b92c-0c541bc5b290)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp dcb2e42c-b2f9-49b0-9c4a-1c4f8006e7d8)) + (pad "1" smd roundrect (at -0.9125 0 180) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 5 "+3V3") (pintype "passive") (tstamp f4df508d-86e2-46f4-ba67-07e806556b67)) + (pad "2" smd roundrect (at 0.9125 0 180) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 38 "Net-(D7-A)") (pintype "passive") (tstamp e0d35be5-b24e-4f2e-b024-e5c8cfc4871c)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" (layer "F.Cu") + (tstamp 9aace0ce-ab2b-4ff7-8d4b-3c1200441e8b) + (at 155.448 87.884 90) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "MPN" "VJ0805A103KXJTBC") + (property "Need_order" "0") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/1f61e8b0-44d8-40a8-a1d2-68e97ad3d6d9") + (attr smd) + (fp_text reference "C12" (at 3.048 0 270 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp 6892fcfa-fcc5-4f8a-8f09-c7f2b8188e87) + ) + (fp_text value "10nF" (at 0 1.68 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 1d712323-96c4-4d2d-9e24-04739321321b) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.08))) + (tstamp 45c0923c-52b7-48e7-85ba-b6d6932449b4) + ) + (fp_line (start -0.261252 -0.735) (end 0.261252 -0.735) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e0757eba-2613-4705-9671-ddf446e58d47)) + (fp_line (start -0.261252 0.735) (end 0.261252 0.735) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 565072f0-67d2-407e-92b0-ef752ec945a6)) + (fp_line (start -1.88 -0.98) (end 1.88 -0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b18213e5-230f-44a6-9916-26565fbe3910)) + (fp_line (start -1.88 0.98) (end -1.88 -0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ad79615a-6f83-4316-86b8-3fcdc7c29e14)) + (fp_line (start 1.88 -0.98) (end 1.88 0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 39faaa24-a4f9-4e85-b2c7-0f602236410e)) + (fp_line (start 1.88 0.98) (end -1.88 0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4bcd4a04-11a8-47ec-a3f2-de666df9087a)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b81df8fe-ec20-4bd2-b5d5-a373d5d5bf36)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 45fe6b0b-049a-4c8f-9e04-1c8bd4d0163a)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 822d038b-206c-4373-9dae-77c66cabceb3)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4ec21deb-f5dd-4fc3-a6e6-7ebb1dbed6d5)) + (pad "1" smd roundrect (at -1.0375 0 90) (size 1.175 1.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.212766) + (net 14 "Net-(C12-Pad1)") (pintype "passive") (tstamp 8d916abb-d349-4a46-b6ee-9cbfefb398be)) + (pad "2" smd roundrect (at 1.0375 0 90) (size 1.175 1.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.212766) + (net 2 "GND") (pintype "passive") (tstamp 8afb1856-baea-4484-b508-575522ac37f5)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "F.Cu") + (tstamp 9c979041-0e66-4ec2-a750-cc093d2a0738) + (at 140.97 114.2785 -90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Need_order" "0") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor") + (property "ki_keywords" "R res resistor") + (path "/cea17cd9-42ea-487e-aa53-40570763b8f2") + (attr smd) + (fp_text reference "R7" (at 0 -1.43 -90 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp 413f7f6b-59d7-440b-bf7d-45cec5ea36a2) + ) + (fp_text value "470" (at 0 1.43 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 3e1a2cee-7be2-4041-913c-40f48652d5d1) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 13448176-14ed-4d3f-b745-2eecad6c50bb) + ) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 553aa40e-ba4c-4194-a148-77232710741a)) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5da16e39-3ea0-4d8f-a12a-d10e506c508d)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 93e4181d-81ac-4529-aeda-220fc1d5946a)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9133672a-9724-4ed8-86c3-977c929db0ca)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0fc77813-560b-494f-8ca7-b9b985f7031f)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1da226ed-8205-4802-8e9b-56253702b3f8)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b50a7c91-fdab-4146-bc58-b8ffc2782fbf)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0a8e2d25-6a57-4cc9-9a39-f056185112b1)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0537a26b-00db-4051-b4af-95b6505a70a0)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b63fdd91-0870-472b-9054-291f7d3e3ff4)) + (pad "1" smd roundrect (at -0.9125 0 270) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 8 "Net-(C6-Pad1)") (pintype "passive") (tstamp 7a58af78-9e3e-4dc1-a6e6-08273a485b41)) + (pad "2" smd roundrect (at 0.9125 0 270) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 8f9e0239-ee39-421f-a053-e6ae9537eff1)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" (layer "F.Cu") + (tstamp 9deaa3e0-1713-4a6b-8250-ed11bf4948f6) + (at 148.336 97.028 180) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "MPN" "08051A471GAT2A") + (property "Need_order" "0") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/08217406-5247-4165-835b-ade61a9c9271") + (attr smd) + (fp_text reference "C19" (at 0 -1.68 180 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp 894ff00c-508c-40f2-ab38-bd691af08f50) + ) + (fp_text value "470pF" (at 0 1.68) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 4ccb197a-7172-43cd-974e-6ce19d499913) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.08))) + (tstamp 8452ec09-eba4-4973-bbc0-25031755929f) + ) + (fp_line (start -0.261252 -0.735) (end 0.261252 -0.735) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4e5bed44-0b2c-48e8-a843-77048a54121b)) + (fp_line (start -0.261252 0.735) (end 0.261252 0.735) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 178521b4-48c2-48be-80ed-67f2d7a596ec)) + (fp_line (start -1.88 -0.98) (end 1.88 -0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 53ea4764-aafc-4202-96df-9ad1d156f806)) + (fp_line (start -1.88 0.98) (end -1.88 -0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 90596d24-64d5-472e-bee2-ac2376cf1caf)) + (fp_line (start 1.88 -0.98) (end 1.88 0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ab35d3de-9ea3-48e0-8fe0-9e3d8f95d80b)) + (fp_line (start 1.88 0.98) (end -1.88 0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c4ea15e5-56cf-423b-a65e-c998e3a3aab9)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f5898223-3af7-4044-9399-a158c839bf63)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f8e63129-0ded-4fa8-9d22-705a2250dd5a)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9ef3a64a-5ed9-486b-bd6f-1eb82648eb4e)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ca3f4124-1eab-4fe5-b75a-2f223caf689e)) + (pad "1" smd roundrect (at -1.0375 0 180) (size 1.175 1.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.212766) + (net 18 "Net-(J5-Pin_2)") (pintype "passive") (tstamp 1c94fb2b-3d16-45f4-9f8d-a4fad366683e)) + (pad "2" smd roundrect (at 1.0375 0 180) (size 1.175 1.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.212766) + (net 2 "GND") (pintype "passive") (tstamp 521b4e4f-b7ad-4ba4-94d2-295c2dc8a9d2)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Inductor_SMD:L_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "F.Cu") + (tstamp a57def55-b3ae-43bb-bfbe-924eeb15b203) + (at 101.346 84.709 180) + (descr "Inductor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "inductor handsolder") + (property "MPN" "BLM18BB221SN1D") + (property "Need_order" "0") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Ferrite bead") + (property "ki_keywords" "L ferrite bead inductor filter") + (path "/6f2224dd-5d00-4ad1-9de1-460fb62a1048") + (attr smd) + (fp_text reference "FB2" (at 0 -1.43 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp 0b044c1e-cd2c-4ea0-9ee4-9b87b071c63f) + ) + (fp_text value "BLM18BB221SN1D" (at 0 1.43) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 71af0f94-0532-4f59-ad66-ce7ee28429a3) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 7f26a9a6-8650-4318-9319-736f7c571e2c) + ) + (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2a27a5bc-2f76-45fc-81a8-34f97ffb3f03)) + (fp_line (start -0.171267 0.51) (end 0.171267 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f44c3ec0-3218-47af-8791-9ee9257c1ff7)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 42dd248c-fba9-48a9-8ace-893b17980ea8)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2fd546d3-6a17-4bfd-85a9-bacde27db24a)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0d6f51b2-19fa-4c07-b29c-520c2943d21d)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 10d5ed07-55d1-4a78-afd0-a9222d2b9dd0)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f7f1bd00-e50e-49d5-bfe7-403a40646622)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 90ed4086-80da-4648-8808-c76614430a48)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 292e8fda-279e-4022-b84d-d9dc72c110f5)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2ffb1ff2-39aa-47a3-9e61-107fbc915075)) + (pad "1" smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 4 "Net-(U3-VCC)") (pintype "passive") (tstamp 0d6ec03b-07aa-45aa-965c-5497ea424fd2)) + (pad "2" smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 5 "+3V3") (pintype "passive") (tstamp 1016764c-5321-40f7-9b0d-ed8f20c7170f)) + (model "${KICAD6_3DMODEL_DIR}/Inductor_SMD.3dshapes/L_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Inductor_SMD:L_0805_2012Metric_Pad1.05x1.20mm_HandSolder" (layer "F.Cu") + (tstamp a6101451-329a-48cc-88a0-9cea4720093e) + (at 139.192 101.5785 -90) + (descr "Inductor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 80, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "inductor handsolder") + (property "MPN" "LQW2BASR18G00L") + (property "Need_order" "0") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Inductor") + (property "ki_keywords" "inductor choke coil reactor magnetic") + (path "/93024990-e43f-42c7-887a-fc004520ae4b") + (attr smd) + (fp_text reference "L3" (at 0 -1.55 -90 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp ae3e30f2-051f-4bdf-b583-a388338a9556) + ) + (fp_text value "180nH" (at 0 1.55 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 42254dda-4e9d-4871-b46e-b436114d54f1) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.08))) + (tstamp 472d1e2b-d119-4f6b-b235-9feea0c63054) + ) + (fp_line (start -0.410242 -0.56) (end 0.410242 -0.56) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8863cb79-2925-4a73-9243-3aac9060503f)) + (fp_line (start -0.410242 0.56) (end 0.410242 0.56) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 626cce4b-3588-4c41-97e7-85e0863db46a)) + (fp_line (start -1.92 -0.85) (end 1.92 -0.85) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1262fe51-1a0c-4324-8c2f-7ac7bf9ef880)) + (fp_line (start -1.92 0.85) (end -1.92 -0.85) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1f722434-cbbe-4fdd-8c75-21aa15c7b6e5)) + (fp_line (start 1.92 -0.85) (end 1.92 0.85) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7e3aa987-dab8-4540-aa41-cbb0831acb1a)) + (fp_line (start 1.92 0.85) (end -1.92 0.85) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 01130048-cc93-4cdf-9785-7286fd669604)) + (fp_line (start -1 -0.45) (end 1 -0.45) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 16d1e8a1-7f7e-444b-a0c2-e50200c39097)) + (fp_line (start -1 0.45) (end -1 -0.45) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d78dfa31-ec70-4dd7-b9f0-d155b4abb86b)) + (fp_line (start 1 -0.45) (end 1 0.45) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7458a061-fe2a-4867-a65c-bca62c70042f)) + (fp_line (start 1 0.45) (end -1 0.45) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c3e24456-c305-45a4-9dd9-fab4050983a8)) + (pad "1" smd roundrect (at -1.15 0 270) (size 1.05 1.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.238095) + (net 13 "Net-(D1-A)") (pinfunction "1") (pintype "passive") (tstamp dc993a7f-a5c3-4359-9b6c-7f6585d9e28b)) + (pad "2" smd roundrect (at 1.15 0 270) (size 1.05 1.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.238095) + (net 10 "/PIN_TX") (pinfunction "2") (pintype "passive") (tstamp af8e10fd-c0b7-431d-ba0c-e0a21501783a)) + (model "${KICAD6_3DMODEL_DIR}/Inductor_SMD.3dshapes/L_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Inductor_SMD:L_1206_3216Metric" (layer "F.Cu") + (tstamp a75ead16-3c46-48a7-a6bb-7ccd74443ec1) + (at 173.482 115.57 -90) + (descr "Inductor SMD 1206 (3216 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 80, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "inductor") + (property "MPN" "") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Inductor, small symbol") + (property "ki_keywords" "inductor choke coil reactor magnetic") + (path "/69380d19-54a2-4950-99ba-799eed3b8923") + (attr smd) + (fp_text reference "L9" (at 0 -1.9 90 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp 83e2a44f-5e7f-4460-bc53-e8d2ed2e9cd0) + ) + (fp_text value "4nH" (at 0 1.9 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 7210dce9-8d5e-42d8-91a1-dd50fe82ef0e) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 0e310230-35c1-4f28-84b5-fc02e28384ec) + ) + (fp_line (start -0.835242 -0.91) (end 0.835242 -0.91) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp dd866b2b-f3df-405a-972d-74aa3e3c94bc)) + (fp_line (start -0.835242 0.91) (end 0.835242 0.91) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 550c561c-dada-4828-9d6f-668ad5a2f633)) + (fp_line (start -2.35 -1.2) (end 2.35 -1.2) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 48e7ed5b-d96c-464f-971d-2df99c413d2c)) + (fp_line (start -2.35 1.2) (end -2.35 -1.2) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0be60d47-9da4-4f64-b742-002626f6e165)) + (fp_line (start 2.35 -1.2) (end 2.35 1.2) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c94c7a5a-90e3-4349-a277-4a2d42bb927d)) + (fp_line (start 2.35 1.2) (end -2.35 1.2) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c9f49e93-d358-4be1-9594-5d1a6eb7c858)) + (fp_line (start -1.6 -0.8) (end 1.6 -0.8) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 45824c9c-2ecf-4855-ad1d-2adde01e63a6)) + (fp_line (start -1.6 0.8) (end -1.6 -0.8) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b5e3f9ef-2bee-47b8-a83c-f5f58e6c07cc)) + (fp_line (start 1.6 -0.8) (end 1.6 0.8) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a54b852c-5fca-4f8e-94f3-bc0c876b0502)) + (fp_line (start 1.6 0.8) (end -1.6 0.8) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp fd70722c-5a27-492e-a51f-6e922071c571)) + (pad "1" smd roundrect (at -1.575 0 270) (size 1.05 1.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.238095) + (net 19 "Net-(Q1-D)") (pintype "passive") (tstamp 87b2fb63-d3ed-4a81-b830-6fe2d3b6b767)) + (pad "2" smd roundrect (at 1.575 0 270) (size 1.05 1.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.238095) + (net 21 "Net-(C22-Pad1)") (pintype "passive") (tstamp ad008576-1d6b-4cc6-af26-9f790765a777)) + (model "${KICAD6_3DMODEL_DIR}/Inductor_SMD.3dshapes/L_1206_3216Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Diode_SMD:D_SOD-123" (layer "F.Cu") + (tstamp a99113fa-46fa-4027-b760-0539a60f23de) + (at 166.878 93.98 180) + (descr "SOD-123") + (tags "SOD-123") + (property "MPN" "") + (property "Need_order" "0") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "Sim.Device" "D") + (property "Sim.Pins" "1=K 2=A") + (property "ki_description" "75V 0.15A Fast Switching Diode, SOD-123") + (property "ki_keywords" "diode") + (path "/77cda478-420a-4b65-8409-e863c9eca42a") + (attr smd) + (fp_text reference "D3" (at 0 -2 180 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp 74bc0beb-763e-4211-8607-45843f241a8e) + ) + (fp_text value "1N4148W" (at 0 2.1 180) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp b7e708fb-3103-4874-976c-cd6a6a799ba5) + ) + (fp_text user "${REFERENCE}" (at 0 -2 180) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp b2ab3b22-783c-4c69-a0ea-3438ee1b20e2) + ) + (fp_line (start -2.36 -1) (end -2.36 1) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b4e0301c-291b-496c-9daf-14b5a2bd8caa)) + (fp_line (start -2.36 -1) (end 1.65 -1) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b6242388-a664-44c0-b766-dbb125c00268)) + (fp_line (start -2.36 1) (end 1.65 1) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6ea135dc-17cb-4eec-bdb1-7a959465d0f4)) + (fp_line (start -2.35 -1.15) (end -2.35 1.15) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 09abe07e-0abb-4a01-8e00-cd5fc2f10486)) + (fp_line (start -2.35 -1.15) (end 2.35 -1.15) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 14de8bc3-58f4-43fa-a573-38a1fbbf1a3d)) + (fp_line (start 2.35 -1.15) (end 2.35 1.15) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b6796aee-9604-4073-9051-efdc9d332b68)) + (fp_line (start 2.35 1.15) (end -2.35 1.15) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 669e80a9-5cd4-43fa-916e-0d80566e6532)) + (fp_line (start -1.4 -0.9) (end 1.4 -0.9) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 97844305-c481-49b5-9ac3-5d35b9803007)) + (fp_line (start -1.4 0.9) (end -1.4 -0.9) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b9555d33-c5e6-4a65-9a52-602df5c31b06)) + (fp_line (start -0.75 0) (end -0.35 0) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9584d0d4-f293-42ab-bfa0-b14d1805d2af)) + (fp_line (start -0.35 0) (end -0.35 -0.55) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp cc0a35a7-70ff-4fc6-97b6-c94a495ab9f2)) + (fp_line (start -0.35 0) (end -0.35 0.55) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp df5d38cf-13bd-4ce9-a5ff-905d52b5c5ed)) + (fp_line (start -0.35 0) (end 0.25 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7a10b6c9-fa39-41fe-9de9-5fbd4c09e13e)) + (fp_line (start 0.25 -0.4) (end 0.25 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9d16df6c-ff18-4fd9-aa2d-669194a46e6b)) + (fp_line (start 0.25 0) (end 0.75 0) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp fc9d2a87-87bf-4e09-beb1-b60ac15c3f21)) + (fp_line (start 0.25 0.4) (end -0.35 0) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 62b38951-200a-4128-a3b2-4c40e8c068f6)) + (fp_line (start 1.4 -0.9) (end 1.4 0.9) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1132c718-65d4-4d23-bd8a-a2df22aae874)) + (fp_line (start 1.4 0.9) (end -1.4 0.9) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 30db7f19-e9cb-43bb-9092-0d659a9a288a)) + (pad "1" smd roundrect (at -1.65 0 180) (size 0.9 1.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "K") (pintype "passive") (tstamp 5f0e4d42-5c85-4e18-a455-17dd84caa99f)) + (pad "2" smd roundrect (at 1.65 0 180) (size 0.9 1.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 30 "Net-(D3-A)") (pinfunction "A") (pintype "passive") (tstamp 0cf50086-d6b6-4b20-ba48-6f0817f2e81e)) + (model "${KICAD6_3DMODEL_DIR}/Diode_SMD.3dshapes/D_SOD-123.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Inductor_SMD:L_0805_2012Metric_Pad1.05x1.20mm_HandSolder" (layer "F.Cu") + (tstamp ac7b8373-abec-424d-92ed-282eb1907705) + (at 160.401 155.194 90) + (descr "Inductor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 80, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "inductor handsolder") + (property "MPN" "LQW2BASR18G00L") + (property "Need_order" "0") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Inductor") + (property "ki_keywords" "inductor choke coil reactor magnetic") + (path "/fee49ab6-1c1c-42c9-bd67-189345fdf858") + (attr smd) + (fp_text reference "L13" (at 0 -1.55 90 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp 6dc4a7d8-fb8a-47a9-b250-43cc656a7ea2) + ) + (fp_text value "180nH" (at 0 1.55 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 0c326481-43e0-463e-9a2c-72e395e6a7b0) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.08))) + (tstamp a9f45958-4766-4e13-bd9e-0d7f78c90d8e) + ) + (fp_line (start -0.410242 -0.56) (end 0.410242 -0.56) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b6830405-f892-4de6-90a3-1503eb8e10ec)) + (fp_line (start -0.410242 0.56) (end 0.410242 0.56) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 25c8d6fe-66c9-46f3-8ad4-20e14419351e)) + (fp_line (start -1.92 -0.85) (end 1.92 -0.85) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d9a03759-bdcd-470e-9933-9b611c7ab6cd)) + (fp_line (start -1.92 0.85) (end -1.92 -0.85) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 43701672-78c4-43ec-9b8c-701f76121228)) + (fp_line (start 1.92 -0.85) (end 1.92 0.85) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 72a57459-5b57-495c-8046-0a4dd39c039d)) + (fp_line (start 1.92 0.85) (end -1.92 0.85) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f7e95be4-85f5-4e39-b555-c008887ff923)) + (fp_line (start -1 -0.45) (end 1 -0.45) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1e4fe18e-0f6a-4a47-be29-9ffc9139e5c0)) + (fp_line (start -1 0.45) (end -1 -0.45) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 27eac4eb-1a86-4083-bdc6-141394154c56)) + (fp_line (start 1 -0.45) (end 1 0.45) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 15f6ef83-7fb7-4f60-a91d-15d25a80a205)) + (fp_line (start 1 0.45) (end -1 0.45) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c7837f8f-3112-4562-91f4-367b5cfaaa5b)) + (pad "1" smd roundrect (at -1.15 0 90) (size 1.05 1.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.238095) + (net 25 "/PIN_RX") (pinfunction "1") (pintype "passive") (tstamp 6cc400ae-13aa-4d3e-b53f-54fc20e1ba59)) + (pad "2" smd roundrect (at 1.15 0 90) (size 1.05 1.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.238095) + (net 35 "Net-(D6-A)") (pinfunction "2") (pintype "passive") (tstamp e3cb3d7b-02f1-424b-bfd1-92dda5baf1ef)) + (model "${KICAD6_3DMODEL_DIR}/Inductor_SMD.3dshapes/L_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Package_TO_SOT_SMD:SOT-23_Handsoldering" (layer "F.Cu") + (tstamp ac86f7f7-fe27-4f58-9781-1d440ee44fc2) + (at 172.466 145.312 -90) + (descr "SOT-23, Handsoldering") + (tags "SOT-23") + (property "MPN" "BAT18") + (property "Need_order" "0") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Band Switching Diode") + (property "ki_keywords" "diode") + (path "/7a26bf29-24cd-44bd-b151-17833c2776a3") + (attr smd) + (fp_text reference "D5" (at 0 -2.5 -90 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp 0142692b-c3d6-498e-bd77-238e6d851536) + ) + (fp_text value "BAT18" (at 0 2.5 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp e4aa13c2-ac0d-4e49-a997-71c717c96ca1) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.075))) + (tstamp 1c57fc1f-9e72-4b36-89fa-125988ed8913) + ) + (fp_line (start 0.76 -1.58) (end -2.4 -1.58) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2b0a07e1-39fe-4022-aa38-bb2535aaa778)) + (fp_line (start 0.76 -1.58) (end 0.76 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ec0d7f2e-1cd4-4454-862e-4252065b43ef)) + (fp_line (start 0.76 1.58) (end -0.7 1.58) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5b5354bc-f735-4506-ba68-55b89f25a9c5)) + (fp_line (start 0.76 1.58) (end 0.76 0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp be7b1eec-f8b1-4aa4-9d20-4b725148dd17)) + (fp_line (start -2.7 -1.75) (end 2.7 -1.75) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ecb56c83-2f03-4e27-b90b-e4958cb75292)) + (fp_line (start -2.7 1.75) (end -2.7 -1.75) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c47bfa25-f855-4abb-acdc-3aa6cf124191)) + (fp_line (start 2.7 -1.75) (end 2.7 1.75) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 46af9e08-9330-4db2-b92b-6c2e65420c03)) + (fp_line (start 2.7 1.75) (end -2.7 1.75) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b7963795-9760-401c-83bd-203597cd13fe)) + (fp_line (start -0.7 -0.95) (end -0.7 1.5) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 856e6aa0-2c55-4e48-84ff-7f25d8d94036)) + (fp_line (start -0.7 -0.95) (end -0.15 -1.52) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 87377c36-7532-4b55-aeb9-73d0246be4de)) + (fp_line (start -0.7 1.52) (end 0.7 1.52) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 15e1f4dc-64f5-4393-a76a-921393283b96)) + (fp_line (start -0.15 -1.52) (end 0.7 -1.52) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b82ba23c-151e-4fb8-8ad6-12f71dede258)) + (fp_line (start 0.7 -1.52) (end 0.7 1.52) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6f6e03f3-7366-4a8e-8140-5e6f208fd40d)) + (pad "1" smd rect (at -1.5 -0.95 270) (size 1.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask") + (net 23 "Net-(D5-A)") (pinfunction "A") (pintype "passive") (tstamp 70c6278b-11dd-465e-a981-d6eb8e859524)) + (pad "2" smd rect (at -1.5 0.95 270) (size 1.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask") + (net 33 "unconnected-(D5-NC-Pad2)") (pinfunction "NC") (pintype "no_connect") (tstamp 4801bdac-25cb-4862-9bf4-446549d35b36)) + (pad "3" smd rect (at 1.5 0 270) (size 1.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask") + (net 34 "Net-(D5-K)") (pinfunction "K") (pintype "passive") (tstamp c55b07c0-3955-4571-98cd-8235556f555c)) + (model "${KICAD6_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/SOT-23.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" (layer "F.Cu") + (tstamp acafec69-e6a8-4026-a3c2-da5caffaafc6) + (at 163.576 80.772 -90) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "MPN" "") + (property "Need_order" "") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/ef6369d1-edfd-4d26-a25f-088c0a98f433") + (attr smd) + (fp_text reference "C16" (at 2.794 -0.254 -90 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp c2f32dfd-0e52-4c64-8c09-2c6a3a25ac13) + ) + (fp_text value "87pF" (at 0 1.68 -270) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp b3687edc-ee17-4960-b2d4-e57ce8b3679c) + ) + (fp_text user "${REFERENCE}" (at 0 0 -270) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.08))) + (tstamp 8d9183ef-a903-4758-ad92-3e8e90122101) + ) + (fp_line (start -0.261252 -0.735) (end 0.261252 -0.735) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 59b67063-d8ab-4f8f-a0b6-19e033683243)) + (fp_line (start -0.261252 0.735) (end 0.261252 0.735) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 013b97d6-f59b-4260-ab19-f90b55c1ed51)) + (fp_line (start -1.88 -0.98) (end 1.88 -0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 753678dc-9868-4370-83e4-6fecf40e39d3)) + (fp_line (start -1.88 0.98) (end -1.88 -0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d864872f-5784-4c70-88e0-82529c0699c4)) + (fp_line (start 1.88 -0.98) (end 1.88 0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6d257947-626d-4e57-8ea0-c5a7bae3a4e7)) + (fp_line (start 1.88 0.98) (end -1.88 0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp de354824-ea4d-461b-b12c-0eeb3a46234b)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 88aafc92-4ae3-48ef-bfd6-c3de2a1a4f24)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c0d4da6f-2fc5-442d-b916-39313e0baf57)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c9d54a15-b644-4cc9-9d7a-15fd7de9e15d)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ef7e3667-c127-4566-bad6-fc475a615520)) + (pad "1" smd roundrect (at -1.0375 0 270) (size 1.175 1.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.212766) + (net 17 "Net-(Q1-G)") (pintype "passive") (tstamp cc9ff4d2-8169-4ebc-989c-7a3f56a7602f)) + (pad "2" smd roundrect (at 1.0375 0 270) (size 1.175 1.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.212766) + (net 2 "GND") (pintype "passive") (tstamp ab9dc3ac-3abd-4580-ae51-5171657c42a2)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Inductor_SMD:L_0805_2012Metric_Pad1.05x1.20mm_HandSolder" (layer "F.Cu") + (tstamp af763abc-2b7d-4e33-9728-565f21f69d7f) + (at 122.682 75.057 90) + (descr "Inductor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 80, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "inductor handsolder") + (property "MPN" "LQW2BAS27NG00L") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Inductor, small symbol") + (property "ki_keywords" "inductor choke coil reactor magnetic") + (path "/307f2e30-7d2d-4e51-a425-8dbdb61df9d8") + (attr smd) + (fp_text reference "L2" (at 2.667 0 90 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp fbf23eac-566b-4037-90cb-e986fccfbfad) + ) + (fp_text value "27nH" (at 0 1.55 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp f9cf52eb-5799-47e3-8847-f76db3d4aeee) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.08))) + (tstamp df256a45-2f1e-4de7-a894-b54d6aa02c3d) + ) + (fp_line (start -0.410242 -0.56) (end 0.410242 -0.56) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9843ba25-d213-443e-b292-d27241d91745)) + (fp_line (start -0.410242 0.56) (end 0.410242 0.56) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9f0b8681-d869-4182-b580-3a7094d9d877)) + (fp_line (start -1.92 -0.85) (end 1.92 -0.85) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b4622292-3556-4f75-ab2f-be866b18c878)) + (fp_line (start -1.92 0.85) (end -1.92 -0.85) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 93f655d2-266f-4048-8ff3-5246a8b7a5ae)) + (fp_line (start 1.92 -0.85) (end 1.92 0.85) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9a57dec9-0fff-4ff7-bcb4-8bafe7ea114c)) + (fp_line (start 1.92 0.85) (end -1.92 0.85) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 10b1d3f8-6454-4d28-a256-6614496cb0d8)) + (fp_line (start -1 -0.45) (end 1 -0.45) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 98832c77-e600-47a3-a49a-458a2f536e2e)) + (fp_line (start -1 0.45) (end -1 -0.45) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 71e81a94-bde4-4d56-ab0a-881361a94fad)) + (fp_line (start 1 -0.45) (end 1 0.45) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ed93228b-8fec-4e69-8a6e-0c32bc28b326)) + (fp_line (start 1 0.45) (end -1 0.45) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 16d751a1-1f5e-4f99-a09f-2813a5b61a69)) + (pad "1" smd roundrect (at -1.15 0 90) (size 1.05 1.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.238095) + (net 11 "Net-(C9-Pad2)") (pintype "passive") (tstamp 6e52ca47-dc79-4733-927d-aeb955f2276f)) + (pad "2" smd roundrect (at 1.15 0 90) (size 1.05 1.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.238095) + (net 58 "Net-(J4-In)") (pintype "passive") (tstamp 82dcdcf7-5ea3-4cf3-8738-234e57493cf6)) + (model "${KICAD6_3DMODEL_DIR}/Inductor_SMD.3dshapes/L_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder" (layer "F.Cu") + (tstamp b45da535-ea9a-4984-925c-0a4804bbbe86) + (at 113.538 147.828 -90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "MPN" "GRM188R71H104KA93D") + (property "Need_order" "0") + (property "Sheetfile" "control.kicad_sch") + (property "Sheetname" "Control") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/717d30dc-b4e1-489a-b7b3-52f4b7c65672/f13e5ca9-d328-47b0-8608-2c934ecaedb2") + (attr smd) + (fp_text reference "C31" (at 0 -1.43 -90 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp 9a2124e9-edf8-4d69-956f-f850084f7e2b) + ) + (fp_text value "0.1uF" (at 0 1.43 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 82d5f9e5-08a7-446a-a5fc-f95c7e50b486) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 400b4a56-5dac-419b-a478-009f3ca74953) + ) + (fp_line (start -0.146267 -0.51) (end 0.146267 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5dbf1e56-7c22-4f67-9ef7-07eb095461e2)) + (fp_line (start -0.146267 0.51) (end 0.146267 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fdf484ff-fe9a-41ca-bb03-d4e5f5bc29c8)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 66e3453c-2764-488c-b650-ca596249a07c)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 737dc9f5-99b4-4b05-8e9d-e7bbbe2c0f82)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f28693c9-b4e6-4402-a34c-cde9aa156dd5)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4e7b4f61-8d2a-44f5-90e1-36ac5d5e3f5b)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ec128604-2133-4f76-b947-31aecb40ee14)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1ce0c85a-5641-4077-a935-b31ec0a5aa47)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 340f43ad-fe5c-42cf-898c-14678a22cda4)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 68e0aab2-a37f-4eb7-b59d-3f229ecf8166)) + (pad "1" smd roundrect (at -0.8625 0 270) (size 1.075 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 5 "+3V3") (pintype "passive") (tstamp 9b6e538e-6572-49e2-8fcb-31494ad9f440)) + (pad "2" smd roundrect (at 0.8625 0 270) (size 1.075 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 36556d1c-b97f-4731-91b3-995bf12e7f99)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "MountingHole:MountingHole_3.2mm_M3_Pad" locked (layer "F.Cu") + (tstamp b466efe0-bbc0-45b5-a204-70eedeba7650) + (at 101.346 72.136) + (descr "Mounting Hole 3.2mm, M3") + (tags "mounting hole 3.2mm m3") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Mounting Hole with connection") + (property "ki_keywords" "mounting hole") + (path "/0806ebd8-ea48-4b66-bfd1-a3d372d14fc1") + (attr exclude_from_pos_files) + (fp_text reference "H1" (at 0 -4.2 unlocked) (layer "F.SilkS") hide + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp 433e464e-0761-41ee-b1d7-c80ec1db696f) + ) + (fp_text value "M3" (at 0 4.2) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 0d26347b-444d-4094-990b-ef670d30b3ff) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 13e585a4-e9a8-4158-ad40-bf5720d41878) + ) + (fp_circle (center 0 0) (end 3.2 0) + (stroke (width 0.15) (type solid)) (fill none) (layer "Cmts.User") (tstamp 5bb24e7b-feef-466e-852c-37b58c337929)) + (fp_circle (center 0 0) (end 3.45 0) + (stroke (width 0.05) (type solid)) (fill none) (layer "F.CrtYd") (tstamp d85237cf-e726-4f0c-9af1-fe546c079b2c)) + (pad "1" thru_hole circle locked (at 0 0) (size 6.4 6.4) (drill 3.2) (layers "*.Cu" "*.Mask") + (net 2 "GND") (pinfunction "1") (pintype "input") (tstamp 98b3125b-86ab-4818-aa83-02a899a4183a)) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder" (layer "F.Cu") + (tstamp b60d6f22-e581-4ce2-845c-c73e9c732cd1) + (at 124.206 148.082) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "MPN" "GRM188R71H104KA93D") + (property "Need_order" "0") + (property "Sheetfile" "control.kicad_sch") + (property "Sheetname" "Control") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/717d30dc-b4e1-489a-b7b3-52f4b7c65672/d2714917-eb2c-4609-b4a2-c2809bc11532") + (attr smd) + (fp_text reference "C30" (at 0 -1.43 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp 159a9c23-cc6b-498e-bbf6-0d6750d2248d) + ) + (fp_text value "0.1uF" (at 0 1.43) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 366a4d30-f1ec-4efe-8e05-4291b622d858) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp af4dc4d0-b830-4c1e-91e8-bbf512626e6e) + ) + (fp_line (start -0.146267 -0.51) (end 0.146267 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp da6f9a37-7071-428c-b6e1-e0e97f36d72b)) + (fp_line (start -0.146267 0.51) (end 0.146267 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 556eed6f-cc13-41d0-8522-9162545bd7f9)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d9386a79-97ab-403b-82ce-ecd80e6c303a)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 21774cd6-7da4-484f-8d57-80baa5200e85)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b66700b0-d218-4b61-9570-c39bf7feb68a)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8d22065a-f7b5-49e4-94bb-64c0c6966fed)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5c655424-bece-4bb5-af63-ca166d9bb970)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0151275f-ec3f-4d50-b2fb-1a1083098ff0)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 89c84514-4c1d-46b9-9d63-8db59bd548e1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b0467415-9d69-4874-8254-486704b6e8de)) + (pad "1" smd roundrect (at -0.8625 0) (size 1.075 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 5 "+3V3") (pintype "passive") (tstamp 397f0791-2b25-432e-bda3-8381e036959a)) + (pad "2" smd roundrect (at 0.8625 0) (size 1.075 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 04e27399-393c-4cf1-86d4-828abb931dd5)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "TestPoint:TestPoint_Pad_D1.5mm" (layer "F.Cu") + (tstamp b6f45514-daec-4509-b537-704646eabf4c) + (at 143.764 125.984) + (descr "SMD pad as test Point, diameter 1.5mm") + (tags "test point SMD pad") + (property "Need_order" "0") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "test point") + (property "ki_keywords" "test point tp") + (path "/c8966b13-370f-41cb-9689-6601f3cb5c6f") + (attr exclude_from_pos_files) + (fp_text reference "TP1" (at 0 -1.648 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp 5001a9c3-47be-4337-a6fc-28a3bfb4b8a5) + ) + (fp_text value "+3V3" (at 0 1.75) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp a2c7836c-c105-4fc9-9aa0-24846fb1dc2e) + ) + (fp_text user "${REFERENCE}" (at 0 -1.65) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp db6ee8a5-4378-4aac-b39d-83d0963e641c) + ) + (fp_circle (center 0 0) (end 0 0.95) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.SilkS") (tstamp 60dfb277-d435-474b-bd39-cd5f3516a88d)) + (fp_circle (center 0 0) (end 1.25 0) + (stroke (width 0.05) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 3c12a025-0756-4896-89d6-85f9308bf8d3)) + (pad "1" smd circle (at 0 0) (size 1.5 1.5) (layers "F.Cu" "F.Mask") + (net 5 "+3V3") (pinfunction "1") (pintype "passive") (tstamp cccd14e5-168e-4af6-aea1-4ac4c3af78e7)) + ) + + (footprint "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" (layer "F.Cu") + (tstamp b8bbda95-e60e-48eb-8122-34be7769088a) + (at 175.26 111.506) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "MPN" "") + (property "Need_order" "") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/0a915f00-5cb8-45f3-ad8c-c2ddbfb32eca") + (attr smd) + (fp_text reference "C20" (at 0 -1.68 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp 722ebf0a-56b4-43b7-b80a-567dc6133243) + ) + (fp_text value "47pF" (at 0 1.68) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 1533cc4e-88b2-46e7-b314-c0a8ba412b8f) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.08))) + (tstamp e337a2df-b368-4a84-8dbc-09776b8e78de) + ) + (fp_line (start -0.261252 -0.735) (end 0.261252 -0.735) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d1c6e8c2-98c7-490e-8b78-d46c6b5ea8ff)) + (fp_line (start -0.261252 0.735) (end 0.261252 0.735) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2ffdfce7-a70f-49f6-9a1c-c1ca012b8c4e)) + (fp_line (start -1.88 -0.98) (end 1.88 -0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 98836560-c573-4c4c-ba3d-57d0ce3334d6)) + (fp_line (start -1.88 0.98) (end -1.88 -0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4adfe3d9-4b80-4b82-b654-3fa8fc144d87)) + (fp_line (start 1.88 -0.98) (end 1.88 0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 473dacc5-e8d0-49a1-b06a-4c25cb1676cd)) + (fp_line (start 1.88 0.98) (end -1.88 0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6a314a0f-c573-409a-890e-c5753f2e206c)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 555bd57e-0562-40c1-a058-163b872c6164)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f88e7149-96f6-4a10-a348-508ea3f22f8b)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 057233ad-b8f0-4e74-8741-07897499c092)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8027a433-a768-478c-b72a-dc44eb134f98)) + (pad "1" smd roundrect (at -1.0375 0) (size 1.175 1.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.212766) + (net 19 "Net-(Q1-D)") (pintype "passive") (tstamp 89b29538-7911-44be-9dd2-65c09dbbd69d)) + (pad "2" smd roundrect (at 1.0375 0) (size 1.175 1.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.212766) + (net 2 "GND") (pintype "passive") (tstamp 4a507e19-af94-4473-b5c3-f1456d8eb3d3)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Connector_Card:microSD_HC_Hirose_DM3AT-SF-PEJM5" (layer "F.Cu") + (tstamp befbcdcd-eb75-4ed8-b34e-f9160409024b) + (at 98.731 150.084 -90) + (descr "Micro SD, SMD, right-angle, push-pull (https://www.hirose.com/product/en/download_file/key_name/DM3AT-SF-PEJM5/category/Drawing%20(2D)/doc_file_id/44099/?file_category_id=6&item_id=06090031000&is_series=)") + (tags "Micro SD") + (property "MPN" "DM3AT-SF-PEJM5") + (property "Sheetfile" "control.kicad_sch") + (property "Sheetname" "Control") + (property "ki_description" "Micro SD Card Socket with card detection pins") + (property "ki_keywords" "connector SD microsd") + (path "/717d30dc-b4e1-489a-b7b3-52f4b7c65672/e21f9b5e-4aaf-4720-bdf4-9ba338280712") + (attr smd) + (fp_text reference "J11" (at -0.075 -9.525 90 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp cf7aaaad-da40-4951-be1f-3762d7bba233) + ) + (fp_text value "Micro_SD_Card_Det_Hirose_DM3AT" (at -0.075 9.575 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp db0ff5be-706a-4ec0-8fd0-0f2e8f123526) + ) + (fp_text user "KEEPOUT" (at -5.775 2.375) (layer "Cmts.User") + (effects (font (size 0.6 0.6) (thickness 0.09))) + (tstamp 32333f69-2dd7-4519-9b1f-2c762d2cc19c) + ) + (fp_text user "KEEPOUT" (at 4.2 7.65 90) (layer "Cmts.User") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 3617a1a5-963e-4287-bd32-f70a1d0bb140) + ) + (fp_text user "KEEPOUT" (at -1.075 -1.925 90) (layer "Cmts.User") + (effects (font (size 1 1) (thickness 0.1))) + (tstamp c9a64a4a-2f82-4a4c-9151-778f2ec2891e) + ) + (fp_text user "KEEPOUT" (at -6.85 -3.25) (layer "Cmts.User") + (effects (font (size 0.6 0.6) (thickness 0.09))) + (tstamp fc67d8f6-b2eb-4c01-84d0-628e0da03984) + ) + (fp_text user "${REFERENCE}" (at -0.075 0.375 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.1))) + (tstamp 1c6abcb0-e320-45a7-b6a5-e5b12c9dd5de) + ) + (fp_line (start -6.975 -7.885) (end -6.975 -4.275) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ac7a76c3-16c1-4ade-b937-b071ea97d609)) + (fp_line (start -6.975 -2.575) (end -6.975 2.125) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 91177b6f-f925-4851-87fa-b40b8dae9775)) + (fp_line (start -6.975 3.425) (end -6.975 5.225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ddec9e6f-7fc9-43c4-a8f3-01df5ed8e64b)) + (fp_line (start -6.525 -7.885) (end -6.975 -7.885) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a39106f4-ffdc-4adf-8651-d0b05fc7c308)) + (fp_line (start -5.945 8.385) (end -6.145 8.185) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5989e040-a99e-41eb-bf4c-0fc197ecd86e)) + (fp_line (start -5.945 8.385) (end -4.085 8.385) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a6f5e3e1-cb5a-4b96-bacd-40eb8682e558)) + (fp_line (start -4.085 8.385) (end -3.875 8.185) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1710ce5e-a603-4fcf-901e-90a865164064)) + (fp_line (start -3.875 8.035) (end -3.875 8.185) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ca6cee16-5754-4bc1-96f4-9ef9d3260d47)) + (fp_line (start -3.875 8.035) (end 2.495 8.035) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d203f407-bc7a-4438-84ec-35ff70c9ad28)) + (fp_line (start 3.005 8.385) (end 2.495 8.035) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 47598835-a270-4717-927a-7ff0dcee390d)) + (fp_line (start 5.075 -7.885) (end 6.995 -7.885) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c570167b-1d7e-4f0a-8101-67d5f68de7f1)) + (fp_line (start 5.315 8.385) (end 3.005 8.385) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cbfa8d2f-e465-4172-a30d-528d71fb227d)) + (fp_line (start 5.315 8.385) (end 5.515 8.185) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0f59e0cc-26e7-4f5c-9d37-4a081e4cb028)) + (fp_line (start 5.515 8.185) (end 5.775 8.185) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 709bc61c-f550-4012-b0bd-68481855a032)) + (fp_line (start 6.995 -7.885) (end 6.995 6.125) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1c5eff1f-5a0e-4b66-98f7-eff78ea1d5ef)) + (fp_line (start -7.82 -8.82) (end 7.88 -8.82) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp af7b38a2-ccb2-4961-8c3b-631bb227a07c)) + (fp_line (start -7.82 8.88) (end -7.82 -8.82) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 94c5a665-9801-4db7-adf6-68be43f115b2)) + (fp_line (start 7.88 -8.82) (end 7.88 8.88) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7b8e152d-8227-418e-8c25-ad9bfbb1bca8)) + (fp_line (start 7.88 8.88) (end -7.82 8.88) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 07bbfaec-8b00-4033-90d0-2d3ce2f4777f)) + (fp_line (start -6.925 8.125) (end -6.925 -7.825) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 510df173-0b77-4c36-8820-0034cdeb5e63)) + (fp_line (start -6.115 8.125) (end -6.925 8.125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2e159d3a-e854-49d7-a856-050831b9a6f7)) + (fp_line (start -5.925 8.325) (end -5.925 13.225) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1ced7e97-8e28-4e44-bbf2-13d86c25e932)) + (fp_line (start -5.915 8.325) (end -6.115 8.125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7693b11a-f7f3-4efc-87c4-f7226f6bd83e)) + (fp_line (start -5.425 9.725) (end 4.575 9.725) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0b7896ab-7de3-4100-9dc9-3ca15dd9a645)) + (fp_line (start -5.425 13.725) (end 4.575 13.725) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp fc6728e6-084d-4dc9-ab52-2c4823e30940)) + (fp_line (start -4.115 8.325) (end -5.915 8.325) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 18f8ea2c-c83b-497d-b53a-5d23fa8a582d)) + (fp_line (start -3.915 8.125) (end -4.115 8.325) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5b88a3ee-dc24-4cad-b3fd-cef7303e7ede)) + (fp_line (start -3.915 8.125) (end -3.915 7.975) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3d2cb596-a213-493b-a506-2f07faf6255c)) + (fp_line (start 2.51 7.975) (end -3.915 7.975) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ea0a4163-3a63-4129-ba45-5fbbe4b49fd1)) + (fp_line (start 3.035 8.325) (end 2.51 7.975) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2e4580e9-e181-477d-b71d-0d0b2cfe3a50)) + (fp_line (start 5.075 13.225) (end 5.075 8.325) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9857f33a-2442-4794-8936-511b261203cc)) + (fp_line (start 5.285 8.325) (end 3.035 8.325) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 52d49a94-218b-45d9-8a90-e986c605a9df)) + (fp_line (start 5.285 8.325) (end 5.485 8.125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a60bed24-c724-4455-a9a5-6e14531179ea)) + (fp_line (start 5.485 8.125) (end 6.925 8.125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 74418ed8-0162-469c-a65b-3506c91181e4)) + (fp_line (start 6.925 -7.825) (end -6.925 -7.825) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 142cfae6-0972-4f77-aa8d-f30fc0a6a15c)) + (fp_line (start 6.925 8.125) (end 6.925 -7.825) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5bc32fe5-e510-4cf4-aba2-13e00d68f1c8)) + (fp_arc (start -5.425 9.725) (mid -5.778553 9.578553) (end -5.925 9.225) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9732950a-77dc-4929-975e-5accd312c8c4)) + (fp_arc (start -5.425 13.725) (mid -5.778553 13.578553) (end -5.925 13.225) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4e404b0b-a78a-4920-9db1-32149ffa5d70)) + (fp_arc (start 5.075 9.225) (mid 4.928553 9.578553) (end 4.575 9.725) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 526a5711-6ce9-4ddb-8a3c-573ff3b77f00)) + (fp_arc (start 5.075 13.225) (mid 4.928553 13.578553) (end 4.575 13.725) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8e3ec95b-303f-484e-a4c4-3dad4a422244)) + (pad "1" smd rect (at 2.775 -7.725 270) (size 0.7 1.2) (layers "F.Cu" "F.Paste" "F.Mask") + (net 50 "Net-(J11-DAT2)") (pinfunction "DAT2") (pintype "bidirectional") (tstamp 6348f1fd-3466-4ded-87a1-f579fdc7abe7)) + (pad "2" smd rect (at 1.675 -7.725 270) (size 0.7 1.2) (layers "F.Cu" "F.Paste" "F.Mask") + (net 51 "/Control/SD_SSn") (pinfunction "DAT3/CD") (pintype "bidirectional") (tstamp c08800fc-464a-40a4-9769-00689dc58d38)) + (pad "3" smd rect (at 0.575 -7.725 270) (size 0.7 1.2) (layers "F.Cu" "F.Paste" "F.Mask") + (net 52 "/Control/SPI1_MOSI") (pinfunction "CMD") (pintype "input") (tstamp 55de694d-2221-4b80-89c5-624af348d2a1)) + (pad "4" smd rect (at -0.525 -7.725 270) (size 0.7 1.2) (layers "F.Cu" "F.Paste" "F.Mask") + (net 5 "+3V3") (pinfunction "VDD") (pintype "power_in") (tstamp f49d1cc3-ba13-49a2-b6b6-827319ebbc34)) + (pad "5" smd rect (at -1.625 -7.725 270) (size 0.7 1.2) (layers "F.Cu" "F.Paste" "F.Mask") + (net 53 "/Control/SPI1_SCK") (pinfunction "CLK") (pintype "input") (tstamp 724f60a2-27f3-49f5-a6b5-beccfc3455b8)) + (pad "6" smd rect (at -2.725 -7.725 270) (size 0.7 1.2) (layers "F.Cu" "F.Paste" "F.Mask") + (net 2 "GND") (pinfunction "VSS") (pintype "power_in") (tstamp e65bf4f3-8915-48f9-b387-26f70e4263f0)) + (pad "7" smd rect (at -3.825 -7.725 270) (size 0.7 1.2) (layers "F.Cu" "F.Paste" "F.Mask") + (net 54 "/Control/SPI1_MISO") (pinfunction "DAT0") (pintype "bidirectional") (tstamp 718c8d8c-af41-46cd-8321-75f028a8548e)) + (pad "8" smd rect (at -4.925 -7.725 270) (size 0.7 1.2) (layers "F.Cu" "F.Paste" "F.Mask") + (net 55 "Net-(J11-DAT1)") (pinfunction "DAT1") (pintype "bidirectional") (tstamp da3fbd04-f4e0-4132-b8e1-4967365e331c)) + (pad "9" smd rect (at -5.875 -7.725 270) (size 0.7 1.2) (layers "F.Cu" "F.Paste" "F.Mask") + (net 56 "unconnected-(J11-DET_B-Pad9)") (pinfunction "DET_B") (pintype "passive+no_connect") (tstamp 55a84e93-b307-4b19-90c8-650dfa10243f)) + (pad "10" smd rect (at -6.825 2.775 270) (size 1 0.8) (layers "F.Cu" "F.Paste" "F.Mask") + (net 57 "/Control/SD_DET") (pinfunction "DET_A") (pintype "passive") (tstamp 987e98a8-6b2e-4268-8a57-89ce05056640)) + (pad "11" smd rect (at -6.825 -3.425 270) (size 1 1.2) (layers "F.Cu" "F.Paste" "F.Mask") + (net 2 "GND") (pinfunction "SHIELD") (pintype "passive") (tstamp 93bb6fb4-79ad-4328-a0a3-9e63d2cf97a8)) + (pad "11" smd rect (at -6.825 6.925 270) (size 1 2.8) (layers "F.Cu" "F.Paste" "F.Mask") + (net 2 "GND") (pinfunction "SHIELD") (pintype "passive") (tstamp 0c5d1a8a-6b16-4706-8a44-2e5a117b7bd0)) + (pad "11" smd rect (at 4.325 -7.725 270) (size 1 1.2) (layers "F.Cu" "F.Paste" "F.Mask") + (net 2 "GND") (pinfunction "SHIELD") (pintype "passive") (tstamp 42d77ec7-5efd-46d5-8a1b-850e73ae1e48)) + (pad "11" smd rect (at 6.675 7.375 270) (size 1.3 1.9) (layers "F.Cu" "F.Paste" "F.Mask") + (net 2 "GND") (pinfunction "SHIELD") (pintype "passive") (tstamp 78cbc48d-2c73-4f27-9065-7b3408ad6071)) + (zone (net 0) (net_name "") (layer "F.Cu") (tstamp 8fed6eed-3507-4f88-ab61-531ed9518036) (hatch full 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks not_allowed) (vias not_allowed) (pads not_allowed) (copperpour not_allowed) (footprints not_allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 91.756 153.009) + (xy 91.756 155.559) + (xy 90.406 155.559) + (xy 90.406 153.009) + ) + ) + ) + (zone (net 0) (net_name "") (layer "F.Cu") (tstamp df0b93dd-eccc-4c5d-88e6-66e72872b176) (hatch full 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks not_allowed) (vias not_allowed) (pads not_allowed) (copperpour not_allowed) (footprints not_allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 97.956 143.609) + (xy 97.956 142.859) + (xy 101.556 142.859) + (xy 101.556 143.609) + ) + ) + ) + (zone (net 0) (net_name "") (layer "F.Cu") (tstamp 54630dc6-b00f-4ac5-a85f-5810f0ebb424) (hatch full 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks not_allowed) (vias not_allowed) (pads not_allowed) (copperpour not_allowed) (footprints not_allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 99.856 153.359) + (xy 99.856 144.659) + (xy 101.456 144.659) + (xy 101.456 153.359) + ) + ) + ) + (zone (net 0) (net_name "") (layer "F.Cu") (tstamp 3645ec88-a77d-4a28-9743-4ceb248a6db7) (hatch full 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks not_allowed) (vias not_allowed) (pads not_allowed) (copperpour not_allowed) (footprints not_allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 100.156 143.959) + (xy 100.156 144.659) + (xy 92.556 144.659) + (xy 92.556 143.959) + ) + ) + ) + (zone (net 0) (net_name "") (layer "F.Cu") (tstamp d498cc7e-305e-42b8-a994-36d04a76ec4e) (hatch full 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks not_allowed) (vias not_allowed) (pads not_allowed) (copperpour not_allowed) (footprints not_allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 102.756 143.609) + (xy 102.756 142.859) + (xy 106.006 142.859) + (xy 106.006 143.609) + ) + ) + ) + (model "${KICAD6_3DMODEL_DIR}/Connector_Card.3dshapes/microSD_HC_Hirose_DM3AT-SF-PEJM5.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "MountingHole:MountingHole_3.2mm_M3_Pad" locked (layer "F.Cu") + (tstamp c291d2f4-4240-4c4c-8913-c12b68e02e6e) + (at 172.466 163.576) + (descr "Mounting Hole 3.2mm, M3") + (tags "mounting hole 3.2mm m3") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Mounting Hole with connection") + (property "ki_keywords" "mounting hole") + (path "/fa7ffa96-a0f2-4bdf-9f67-3c19cc542be7") + (attr exclude_from_pos_files) + (fp_text reference "H4" (at 0 -4.2 unlocked) (layer "F.SilkS") hide + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp d5a16346-2fea-4c7c-9701-f2f989f3ed63) + ) + (fp_text value "M3" (at 0 4.2) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 5586318f-cf55-44c2-86f4-6a2e489ffc89) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 5c115d68-ff77-4138-9375-eec317881e16) + ) + (fp_circle (center 0 0) (end 3.2 0) + (stroke (width 0.15) (type solid)) (fill none) (layer "Cmts.User") (tstamp 1479f619-3087-422b-a425-ee9cfdae3704)) + (fp_circle (center 0 0) (end 3.45 0) + (stroke (width 0.05) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 2d3d5d7a-da5f-4210-ad74-51d266f2408c)) + (pad "1" thru_hole circle locked (at 0 0) (size 6.4 6.4) (drill 3.2) (layers "*.Cu" "*.Mask") + (net 2 "GND") (pinfunction "1") (pintype "input") (tstamp 4d3a4d4c-5b3a-4d1e-a918-452ccc7a2e29)) + ) + + (footprint "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" (layer "F.Cu") + (tstamp c4e0ad77-3b74-4c9d-b5b2-a53d8c24da8c) + (at 152.4 80.772 -90) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "MPN" "") + (property "Need_order" "") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/3ef4a8ae-7c60-4398-ae4b-fa52c00a1673") + (attr smd) + (fp_text reference "C14" (at 3.048 0 -90 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp de1d25cb-a3b6-432e-9fbb-b4979429237a) + ) + (fp_text value "35pF" (at 0 1.68 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp eb9d5814-4ad0-47c4-9c83-634fbb9233e0) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.08))) + (tstamp 21a490ce-2061-4359-874b-725c40ffde56) + ) + (fp_line (start -0.261252 -0.735) (end 0.261252 -0.735) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp efb47db7-586e-41e4-9a37-85d05855bb19)) + (fp_line (start -0.261252 0.735) (end 0.261252 0.735) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 247c3641-8a91-42a9-b0f9-c87d1ed7ac3c)) + (fp_line (start -1.88 -0.98) (end 1.88 -0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5fa1224c-1298-42d2-b2c9-2e83d0f95ca8)) + (fp_line (start -1.88 0.98) (end -1.88 -0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 52b4bd34-46c5-425b-a4b9-31106cfb3e12)) + (fp_line (start 1.88 -0.98) (end 1.88 0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f0d076fd-7d1c-4235-a6da-46deda972d8f)) + (fp_line (start 1.88 0.98) (end -1.88 0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8692a7f9-b6b0-4bf8-9787-7e92e6444ffb)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp abe6ca77-d4e7-437b-b34f-29de9b770f15)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3fbb4c1f-d3c4-4884-b218-7c9d05620575)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b471f1c2-6a85-4de9-bbaf-4846f49cd5d7)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4d196df7-96b1-4999-af76-ff09790c491d)) + (pad "1" smd roundrect (at -1.0375 0 270) (size 1.175 1.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.212766) + (net 16 "Net-(C14-Pad1)") (pintype "passive") (tstamp 2b1c1cfb-0807-455d-9bf7-292292b8a4c9)) + (pad "2" smd roundrect (at 1.0375 0 270) (size 1.175 1.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.212766) + (net 2 "GND") (pintype "passive") (tstamp ead0bfb7-8fe9-480a-8b41-b9189bd8e64e)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "F.Cu") + (tstamp ca589459-f9b9-453c-b205-cbb73e61ee51) + (at 111.506 159.512 90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Need_order" "0") + (property "Sheetfile" "control.kicad_sch") + (property "Sheetname" "Control") + (property "ki_description" "Resistor") + (property "ki_keywords" "R res resistor") + (path "/717d30dc-b4e1-489a-b7b3-52f4b7c65672/18a6d1db-370e-4066-b006-4809f04d68db") + (attr smd) + (fp_text reference "R36" (at 0 -1.43 90 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp 76fbdd76-9b2a-42cf-8c61-9d12bf2d85de) + ) + (fp_text value "22" (at 0 1.43 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 48febb13-7e1b-467a-a75d-f34037d44fb0) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 0e13baae-3eac-4b00-8d84-0072b26544ba) + ) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e86edcca-e69f-4cc9-ac12-925874336e55)) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5cf67043-1159-4a40-8734-5d23a1af616f)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0b84ad47-a6da-46ed-8edf-27dd59ddd98b)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7ffb7b56-ee61-476d-b6d4-afced9b7628d)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7a275797-2dc4-4e5f-8a38-2a4eae0b17b8)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a554ad6c-a1c1-4306-9015-f71f887c1e63)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 017ce39b-aafd-4dd5-a625-7bef9f62ae2a)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b5a179c5-9286-457d-9ec0-823f6b5fd700)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b9b948bd-a345-4849-a80b-0c987e651da2)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d4f56fdb-5b82-4b7e-ad57-1752bc061c2c)) + (pad "1" smd roundrect (at -0.9125 0 90) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 45 "/Control/USB_DP") (pintype "passive") (tstamp ceb4be4c-62fb-4a87-a851-096b522394c5)) + (pad "2" smd roundrect (at 0.9125 0 90) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 86 "Net-(U5-PA12)") (pintype "passive") (tstamp 787dcb26-ba00-41a0-b9fd-251f4e7a924c)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Inductor_SMD:L_1206_3216Metric" (layer "F.Cu") + (tstamp cd09cd3b-1a9e-428b-8f2c-e7cc1a451901) + (at 144.78 78.994) + (descr "Inductor SMD 1206 (3216 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 80, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "inductor") + (property "MPN" "") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Inductor, small symbol") + (property "ki_keywords" "inductor choke coil reactor magnetic") + (path "/b744aeab-856c-4980-989e-369369c7dcfd") + (attr smd) + (fp_text reference "L4" (at 0 -3.81 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp 126b43fe-043d-4f9e-acf1-f79d90a92e3d) + ) + (fp_text value "8nH" (at 0 1.9) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 77ce4cc6-9ef2-4ce4-b1be-75acf653d596) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 5e6bad64-7d56-4dc1-bcea-7265e0922ceb) + ) + (fp_line (start -0.835242 -0.91) (end 0.835242 -0.91) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 533b2d59-08ee-4418-8691-bc6ee916be68)) + (fp_line (start -0.835242 0.91) (end 0.835242 0.91) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9eb35154-41eb-4711-bb7f-126f1b2b58e1)) + (fp_line (start -2.35 -1.2) (end 2.35 -1.2) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 31c0bd5e-df9b-4cee-ad28-59950afafcb1)) + (fp_line (start -2.35 1.2) (end -2.35 -1.2) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2aca1674-94f6-4e29-bd8f-d875f7bbddfb)) + (fp_line (start 2.35 -1.2) (end 2.35 1.2) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9cae40bd-663a-4824-be2e-d27817a3f237)) + (fp_line (start 2.35 1.2) (end -2.35 1.2) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b0739b55-e706-4d65-a51a-7ab2b6694699)) + (fp_line (start -1.6 -0.8) (end 1.6 -0.8) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9aa004ba-a646-4fc2-b286-e25272ba74d7)) + (fp_line (start -1.6 0.8) (end -1.6 -0.8) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5f46166d-5d4e-4755-b5e8-a3b8b2be1608)) + (fp_line (start 1.6 -0.8) (end 1.6 0.8) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f034915c-69bc-45c2-89af-ccd6cb38396b)) + (fp_line (start 1.6 0.8) (end -1.6 0.8) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f9439d97-1190-464f-a913-52c9d02d79c0)) + (pad "1" smd roundrect (at -1.575 0) (size 1.05 1.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.238095) + (net 12 "Net-(C10-Pad1)") (pintype "passive") (tstamp f1fc93f6-7814-4993-8b5f-df04693d5c8d)) + (pad "2" smd roundrect (at 1.575 0) (size 1.05 1.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.238095) + (net 16 "Net-(C14-Pad1)") (pintype "passive") (tstamp d664dee9-9f16-46ff-a85a-5ddb2fb2abf5)) + (model "${KICAD6_3DMODEL_DIR}/Inductor_SMD.3dshapes/L_1206_3216Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "mpb:two_2mm_pads" (layer "F.Cu") + (tstamp cf60a322-3676-4a9d-a29d-ac45b0372f97) + (at 168.656 103.632 -90) + (property "MPN" "") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Inductor, small symbol") + (property "ki_keywords" "inductor choke coil reactor magnetic") + (path "/04725310-369e-494d-8370-59b2c1e135fd") + (attr through_hole) + (fp_text reference "L15" (at -3 -0.25 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp 03a9f2e4-65fe-49e8-b752-262047c69261) + ) + (fp_text value "wire" (at 0 -0.5 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 82aa09b8-a64f-4a1a-afa0-460f604543bf) + ) + (fp_line (start -1 -0.8) (end 1 -0.8) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp f48ad06b-2de5-440e-86fc-a0655218176a)) + (fp_line (start -1 -0.7) (end 1 -0.7) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 36a9a9c2-b12b-49fc-862a-20e33beb1b07)) + (fp_line (start -1 0.8) (end -1 -0.8) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 22b1296e-bb39-4753-9272-e5a5129726e3)) + (fp_line (start 1 -0.8) (end 1 0.8) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp b27960a8-46f6-4d68-ac28-be5b328097dc)) + (pad "1" smd rect (at 0 -2 270) (size 2 2) (layers "F.Cu" "F.Paste" "F.Mask") + (net 19 "Net-(Q1-D)") (pintype "passive") (tstamp 516b4a88-003e-4f17-bac9-c88a38aca7df)) + (pad "2" smd rect (at 0 2 270) (size 2 2) (layers "F.Cu" "F.Paste" "F.Mask") + (net 119 "Net-(L15-Pad2)") (pintype "passive") (tstamp b95986d0-02f7-49e4-aab1-8ced0e594ae2)) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "F.Cu") + (tstamp d1e54333-aba5-44fe-aa3d-06f27d0b80cb) + (at 155.956 90.932 180) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Need_order" "0") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor") + (property "ki_keywords" "R res resistor") + (path "/094e055d-3b05-4822-82b5-18549f8ab7d7") + (attr smd) + (fp_text reference "R10" (at 0 -1.43 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp 4250b21d-f6ed-4a2c-abac-93c79178643e) + ) + (fp_text value "1k" (at 0 1.43) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 842eb13f-9672-4597-a64c-4c213494bb95) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 18408873-a6c8-4286-b6e1-3eb421442e94) + ) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1be45132-1520-4cc5-9cd8-2eddf782e759)) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c61d45b9-549b-4e67-9fe7-5c8c13db12a3)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b92b1f35-56fe-4759-97d1-114568abd457)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 282df429-cb5b-4125-b8e3-506c84704032)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c0582044-3041-494e-9475-38d4ecc6ba65)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ad907cf9-90aa-43b0-b2a6-5d8d1bc643f8)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 00a0ca91-cf12-42c5-80b6-42c1b3d21d33)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0dca1fe7-18dd-432d-8198-1d574f45ea2f)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1373d469-99f5-42ef-be4f-e9f7ed782b6e)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e7373bed-7568-45bc-b286-b395f7dffcb8)) + (pad "1" smd roundrect (at -0.9125 0 180) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 30 "Net-(D3-A)") (pintype "passive") (tstamp 8dbd7a50-ad88-4f6a-90f8-8533a3088997)) + (pad "2" smd roundrect (at 0.9125 0 180) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 79 "Net-(R10-Pad2)") (pintype "passive") (tstamp 3c33c457-29ff-4573-8eee-ace4e71dc530)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "F.Cu") + (tstamp d51dd29b-193a-46be-9408-cf96e2d4e106) + (at 166.624 87.63 180) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Need_order" "0") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor") + (property "ki_keywords" "R res resistor") + (path "/bbd7010b-60ab-421c-aae3-395db5d3f94b") + (attr smd) + (fp_text reference "R11" (at 2.032 1.27 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp 156accc3-0ca6-4771-a32e-6b4b15db115b) + ) + (fp_text value "68" (at 0 1.43) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 4e5fe173-f96f-49f2-9abb-0d765bc4872d) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 14f6a491-ac18-478e-81a6-415f59322af0) + ) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f54a92e4-586a-4299-aec4-0558a5e9bed1)) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cc4e6761-0d47-42a2-acde-c4705660e45c)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp dcc9d427-317a-4113-950f-703fabf8b744)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 80b9a8e4-7021-4912-ae3d-dedb5b73815d)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a4198d34-ae58-4ecf-b2b7-ad59427e6b20)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 643cde90-9702-44ab-a33d-e396953a297d)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8e48af06-8bab-43d1-98c4-e35d68a93808)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6410de7f-cc59-4e7f-92d9-81976b3c6b44)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4fee802b-b4bf-4ade-b18c-fc162b257c4f)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 94b03479-bff9-4fd1-9259-f854628d3584)) + (pad "1" smd roundrect (at -0.9125 0 180) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 17 "Net-(Q1-G)") (pintype "passive") (tstamp 0ac5db32-2e02-4881-98ef-d6c1403e50a4)) + (pad "2" smd roundrect (at 0.9125 0 180) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 15 "Net-(C13-Pad2)") (pintype "passive") (tstamp de466de5-022f-4a5a-a810-07e7e4315068)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Connector_PinHeader_2.54mm:PinHeader_1x06_P2.54mm_Vertical" (layer "F.Cu") + (tstamp db1eecc6-f3bc-4199-9304-21a06edbb286) + (at 117.602 164.592 90) + (descr "Through hole straight pin header, 1x06, 2.54mm pitch, single row") + (tags "Through hole pin header THT 1x06 2.54mm single row") + (property "Sheetfile" "control.kicad_sch") + (property "Sheetname" "Control") + (property "ki_description" "Generic screw terminal, single row, 01x06, script generated (kicad-library-utils/schlib/autogen/connector/)") + (property "ki_keywords" "screw terminal") + (path "/717d30dc-b4e1-489a-b7b3-52f4b7c65672/3376d1d0-894d-4094-a353-7f3025a7d011") + (attr through_hole) + (fp_text reference "J8" (at 0 -2.33 90 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp f628a4ee-bf10-49bc-a16b-6887207b760a) + ) + (fp_text value "Screw_Terminal_01x06" (at 0 15.03 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 92192ecd-619e-47a3-a2bf-915c120125b5) + ) + (fp_text user "${REFERENCE}" (at 0 6.35) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 0c8a0982-7e81-4a4c-b3f4-2d68212c1b95) + ) + (fp_line (start -1.33 -1.33) (end 0 -1.33) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 575edb54-e693-41f3-9573-f1f44541afd2)) + (fp_line (start -1.33 0) (end -1.33 -1.33) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a68c911d-1f84-4a9e-b4a3-9d634a530f8f)) + (fp_line (start -1.33 1.27) (end -1.33 14.03) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f277fed2-77c0-4064-a23c-20cd122049ee)) + (fp_line (start -1.33 1.27) (end 1.33 1.27) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4feaadc2-258d-4d62-ab3c-cae05055fc41)) + (fp_line (start -1.33 14.03) (end 1.33 14.03) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1b35143a-24a6-4a71-9526-2ade3641d91a)) + (fp_line (start 1.33 1.27) (end 1.33 14.03) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9dfbffb8-896d-49f1-b274-76f80b504fe1)) + (fp_line (start -1.8 -1.8) (end -1.8 14.5) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 342db1a6-112c-4139-9253-a94f134134f1)) + (fp_line (start -1.8 14.5) (end 1.8 14.5) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0945c735-5b2d-419a-9c6b-86ab78c56d5b)) + (fp_line (start 1.8 -1.8) (end -1.8 -1.8) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 899b813b-71f4-4fe4-9b0e-91f9eaf21848)) + (fp_line (start 1.8 14.5) (end 1.8 -1.8) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d41936e6-8a58-4e4d-bb25-052b01a05a03)) + (fp_line (start -1.27 -0.635) (end -0.635 -1.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d705495f-58d4-4037-8446-ec9b4f926033)) + (fp_line (start -1.27 13.97) (end -1.27 -0.635) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp cf68f7f2-2cf6-4b47-9b80-452dc116b409)) + (fp_line (start -0.635 -1.27) (end 1.27 -1.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ad8c1f5d-f9d3-4382-bf82-a69c74483a8b)) + (fp_line (start 1.27 -1.27) (end 1.27 13.97) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 464ac992-8cea-47d8-ba99-c1ab9992bdf9)) + (fp_line (start 1.27 13.97) (end -1.27 13.97) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4b4c7892-53a6-4008-b8eb-8d6c0542832b)) + (pad "1" thru_hole rect (at 0 0 90) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 5 "+3V3") (pinfunction "Pin_1") (pintype "passive") (tstamp 86aaeae3-20d9-4c93-b167-8cb3f9cfa08a)) + (pad "2" thru_hole oval (at 0 2.54 90) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 73 "/Control/SDA") (pinfunction "Pin_2") (pintype "passive") (tstamp 958cb513-cfc2-4cb2-b0be-ebee24b63411)) + (pad "3" thru_hole oval (at 0 5.08 90) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 75 "/Control/SCL") (pinfunction "Pin_3") (pintype "passive") (tstamp 0dddb60d-b378-4a33-8ebd-9f665fd6fbf3)) + (pad "4" thru_hole oval (at 0 7.62 90) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 103 "/Control/PB0") (pinfunction "Pin_4") (pintype "passive") (tstamp d61fc41b-6301-4bbb-a122-9aab24bffb1c)) + (pad "5" thru_hole oval (at 0 10.16 90) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 104 "/Control/PB1") (pinfunction "Pin_5") (pintype "passive") (tstamp 0f073fef-3df8-44ce-86d5-cba476b35846)) + (pad "6" thru_hole oval (at 0 12.7 90) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 2 "GND") (pinfunction "Pin_6") (pintype "passive") (tstamp b473cda8-1e7c-4854-8d4a-d02df968d3a1)) + (model "${KICAD6_3DMODEL_DIR}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_1x06_P2.54mm_Vertical.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" (layer "F.Cu") + (tstamp dd17c5c5-ca7c-4b89-88a6-7684c877cb53) + (at 139.192 114.2785 -90) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "MPN" "") + (property "Need_order" "") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/0e4bd918-b9df-4b69-812b-d5ac35d8c69a") + (attr smd) + (fp_text reference "C6" (at 0 -1.68 -90 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp 2647b36f-8ac9-4dc2-a79a-266d684a4e18) + ) + (fp_text value "100pF" (at 0 1.68 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 757490ae-0034-4e9a-b1cc-dab131c68d8b) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.08))) + (tstamp 8084d9b2-cd51-473f-ab52-8ab63dd1cfcd) + ) + (fp_line (start -0.261252 -0.735) (end 0.261252 -0.735) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 326e6ad6-2b1b-4c01-a1a4-421a77a8938b)) + (fp_line (start -0.261252 0.735) (end 0.261252 0.735) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7baa2ffa-b7ca-400b-90e6-a231ea4de88d)) + (fp_line (start -1.88 -0.98) (end 1.88 -0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 345406d5-5a30-4842-a055-deaffc7e0a05)) + (fp_line (start -1.88 0.98) (end -1.88 -0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 437e2fa3-736b-4e47-b830-4d22e45da6a2)) + (fp_line (start 1.88 -0.98) (end 1.88 0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3597e286-231f-461b-b99c-75dd55c0658f)) + (fp_line (start 1.88 0.98) (end -1.88 0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 85cfb83b-f535-43c2-a8e2-eb47acda8074)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp af4c7965-a6c5-415e-b4bf-245ad392f8e2)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 831f587f-a862-4958-9200-c6ca13b6c33a)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c860f266-5afe-4090-9741-99c1b2c5f78d)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp aa338378-54c6-4f09-a7cc-490303001038)) + (pad "1" smd roundrect (at -1.0375 0 270) (size 1.175 1.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.212766) + (net 8 "Net-(C6-Pad1)") (pintype "passive") (tstamp d4eee690-4092-4510-b7f9-79a1aac96d49)) + (pad "2" smd roundrect (at 1.0375 0 270) (size 1.175 1.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.212766) + (net 2 "GND") (pintype "passive") (tstamp 899902db-7b31-4ad5-89a7-5324b7839d5f)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Inductor_SMD:L_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "F.Cu") + (tstamp ddb03e98-7997-47bc-be2c-c51751c0a2e7) + (at 116.332 131.572 180) + (descr "Inductor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "inductor handsolder") + (property "MPN" "BLM18BB221SN1D") + (property "Need_order" "0") + (property "Sheetfile" "control.kicad_sch") + (property "Sheetname" "Control") + (property "ki_description" "Ferrite bead") + (property "ki_keywords" "L ferrite bead inductor filter") + (path "/717d30dc-b4e1-489a-b7b3-52f4b7c65672/ee836c57-e4a3-45f9-9cd9-23892d01ca95") + (attr smd) + (fp_text reference "FB3" (at 0 -1.43 180 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp 10c2f84c-7eb0-4983-a89f-16fb564ec987) + ) + (fp_text value "BLM18BB221SN1D" (at 0 1.43) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 876695a5-6edc-4ac3-bbec-18938fbe8042) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 156d9b81-9a25-4da4-8c22-d111f570de78) + ) + (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2dfa4897-1c35-451a-807e-78896e151544)) + (fp_line (start -0.171267 0.51) (end 0.171267 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4224b9b5-3c59-4b9e-b501-eddd55d25bfc)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f7bae53e-5a6a-4587-b4e0-293008d1cb0f)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f0dd45c3-562f-4471-ab96-107a220aa6a7)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b1fa32a0-60b8-4886-a41b-7bcd1f84073e)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp accc145a-6cba-4634-adbb-df029dd2050f)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6aa36f3d-8459-40e5-b0d3-c85e4f12fd5a)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f85652e7-861d-43f1-a829-de3c2b944c1a)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5a0853e4-15e6-4866-ada6-67beb3ee424c)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5ae66e91-9671-4b48-b4b3-a557bfa6ad5b)) + (pad "1" smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 26 "Net-(U5-VDDA)") (pintype "passive") (tstamp ffecdd33-37c7-47ec-98bb-8198c702bc84)) + (pad "2" smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 5 "+3V3") (pintype "passive") (tstamp 409140e6-b7aa-4f46-92df-9b88fbae29e8)) + (model "${KICAD6_3DMODEL_DIR}/Inductor_SMD.3dshapes/L_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Package_TO_SOT_SMD:SOT-23_Handsoldering" (layer "F.Cu") + (tstamp dee4edd6-dff8-4202-bb3b-b77229ee6369) + (at 134.874 101.8325 -90) + (descr "SOT-23, Handsoldering") + (tags "SOT-23") + (property "MPN" "BAT18") + (property "Need_order" "0") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Band Switching Diode") + (property "ki_keywords" "diode") + (path "/9cf7ff3b-bbc5-45bc-9261-d3ce6e55eada") + (attr smd) + (fp_text reference "D1" (at 0 -2.5 -90 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp 29f40dbd-b3e1-4aba-b5af-3d4393a469a1) + ) + (fp_text value "BAT18" (at 0 2.5 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp a95f8f79-76bf-4395-b28a-00c7f289d64d) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.075))) + (tstamp aa5abdb1-ef4f-4f3c-af76-b6a6f756e872) + ) + (fp_line (start 0.76 -1.58) (end -2.4 -1.58) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 70c199f0-39bb-4dbc-9f6a-1d8aa01f7a1c)) + (fp_line (start 0.76 -1.58) (end 0.76 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e4ddca0c-51b4-4fff-bc61-dfd02a490699)) + (fp_line (start 0.76 1.58) (end -0.7 1.58) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 34a4cbb6-3678-496a-ba39-0e378a4f3a85)) + (fp_line (start 0.76 1.58) (end 0.76 0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b4f4f88d-4c1b-4b9f-abba-124e755f9878)) + (fp_line (start -2.7 -1.75) (end 2.7 -1.75) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a32dc1c4-0aaa-4add-a980-c8a56858a673)) + (fp_line (start -2.7 1.75) (end -2.7 -1.75) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 51ecce8c-61b4-44b2-aeef-d0cd93428beb)) + (fp_line (start 2.7 -1.75) (end 2.7 1.75) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ab85adc5-8ed9-4698-9190-62817eb69e16)) + (fp_line (start 2.7 1.75) (end -2.7 1.75) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e36f61b5-fb75-4809-8455-7f3eb95bd786)) + (fp_line (start -0.7 -0.95) (end -0.7 1.5) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3c5cfff1-eaea-4659-a69d-5d3138b26bae)) + (fp_line (start -0.7 -0.95) (end -0.15 -1.52) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0862555c-e3da-46c1-9589-80cbe33b7dac)) + (fp_line (start -0.7 1.52) (end 0.7 1.52) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp bcbaf8f4-686e-4ff5-affa-007731371056)) + (fp_line (start -0.15 -1.52) (end 0.7 -1.52) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 82792b7b-821f-478f-9a5b-da1eaf145c99)) + (fp_line (start 0.7 -1.52) (end 0.7 1.52) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 005a1175-82ac-4090-94fd-1d23f21a6847)) + (pad "1" smd rect (at -1.5 -0.95 270) (size 1.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask") + (net 13 "Net-(D1-A)") (pinfunction "A") (pintype "passive") (tstamp c355527e-8e13-4f7a-986b-455cff1f58fd)) + (pad "2" smd rect (at -1.5 0.95 270) (size 1.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask") + (net 27 "unconnected-(D1-NC-Pad2)") (pinfunction "NC") (pintype "no_connect") (tstamp 3a9a8699-7c2d-4ab7-b7d5-e7a16abd088f)) + (pad "3" smd rect (at 1.5 0 270) (size 1.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask") + (net 6 "Net-(D1-K)") (pinfunction "K") (pintype "passive") (tstamp a28f9312-2f83-4291-95dc-1a2d7f4ae947)) + (model "${KICAD6_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/SOT-23.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "F.Cu") + (tstamp e8f9ce71-3649-4986-95cd-2124d123312f) + (at 127 140.97 -90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Need_order" "0") + (property "Sheetfile" "control.kicad_sch") + (property "Sheetname" "Control") + (property "ki_description" "Resistor") + (property "ki_keywords" "R res resistor") + (path "/717d30dc-b4e1-489a-b7b3-52f4b7c65672/9852c3fb-3bb3-4ca0-a257-9c820f0debf4") + (attr smd) + (fp_text reference "R42" (at 0 -1.43 -90 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp 7dc4fb12-d43c-460c-8fb0-a74cf1fd75f1) + ) + (fp_text value "100k" (at 0 1.43 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp ac9d8da2-8125-434f-9d78-bc6df597a77e) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp cda8bf3f-3e9f-43c4-b015-6ce59125ccc3) + ) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 781e7420-2608-40e8-9349-dcabacabe053)) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 22ecc8fe-3925-4594-b947-44ebbfe99020)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c7001542-2b0f-4ca3-b445-28dd5f1fec9c)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 31dbea72-d6b7-4f41-a33a-09f8fdf611b2)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f4decfb5-8ef2-41e0-b903-d066eee36b0a)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d8eb3e9f-39c2-43cc-a288-15cbd882e81e)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 402516f1-47ca-4e13-b4b4-5ab736dcb367)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 26eedc5c-e817-467e-95f8-3f26f758ead0)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c101cbeb-585b-4579-85c6-8d2fc5118dd3)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 239554e7-9710-4794-9c74-f0c9454e805c)) + (pad "1" smd roundrect (at -0.9125 0 270) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 97257533-92c2-401a-8b30-4bf612dea88e)) + (pad "2" smd roundrect (at 0.9125 0 270) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 87 "/Control/BOOT0") (pintype "passive") (tstamp b914fb84-b10e-4013-8774-b8acae5b6097)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Inductor_SMD:L_1206_3216Metric" (layer "F.Cu") + (tstamp e9383855-efc6-431e-891e-45c40a1cea14) + (at 173.482 132.334 -90) + (descr "Inductor SMD 1206 (3216 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 80, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "inductor") + (property "MPN" "") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Inductor, small symbol") + (property "ki_keywords" "inductor choke coil reactor magnetic") + (path "/277e69ff-29a8-4054-85a2-b1d80564d9e5") + (attr smd) + (fp_text reference "L10" (at 0 -1.9 -90 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp a9be17f6-e3c6-4c0f-ae36-6b1a341b0e8d) + ) + (fp_text value "9nH" (at 0 1.9 -90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp f1044fc1-8aee-43c0-b0ec-81c229acd190) + ) + (fp_text user "${REFERENCE}" (at 0 0 -90) (layer "F.Fab") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 3209e1a2-1ea4-43ac-9501-3443e4d93479) + ) + (fp_line (start -0.835242 -0.91) (end 0.835242 -0.91) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a294cb82-4173-411d-b681-58b111e72ccd)) + (fp_line (start -0.835242 0.91) (end 0.835242 0.91) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d36dadb1-279d-41c2-b7ee-5e25903e9946)) + (fp_line (start -2.35 -1.2) (end 2.35 -1.2) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9333cf90-b394-4232-a07e-f028e2c1e83d)) + (fp_line (start -2.35 1.2) (end -2.35 -1.2) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e31da8a3-2afa-4c5a-9a50-0f6e57f7830e)) + (fp_line (start 2.35 -1.2) (end 2.35 1.2) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d3a35ec2-bcd6-4225-b119-ecf758915d50)) + (fp_line (start 2.35 1.2) (end -2.35 1.2) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8d3fc1c0-3b71-4625-9a54-842c41744c4b)) + (fp_line (start -1.6 -0.8) (end 1.6 -0.8) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4b92762a-8596-4925-bf0d-8bb73b484dcb)) + (fp_line (start -1.6 0.8) (end -1.6 -0.8) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f0bbdd49-793d-4b80-9d8e-b32d2232420e)) + (fp_line (start 1.6 -0.8) (end 1.6 0.8) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a5d87ca1-1cb9-4e94-b1c9-c7e990ef2c41)) + (fp_line (start 1.6 0.8) (end -1.6 0.8) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5bd5c65e-6f9c-493a-8e64-f1e4dce85306)) + (pad "1" smd roundrect (at -1.575 0 270) (size 1.05 1.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.238095) + (net 21 "Net-(C22-Pad1)") (pintype "passive") (tstamp 7c81ff5b-9bc7-414b-a683-c2d98f44af41)) + (pad "2" smd roundrect (at 1.575 0 270) (size 1.05 1.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.238095) + (net 22 "Net-(C23-Pad1)") (pintype "passive") (tstamp 8ec95f8a-d9d0-41e4-8107-7149c7404d5d)) + (model "${KICAD6_3DMODEL_DIR}/Inductor_SMD.3dshapes/L_1206_3216Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "RF_Module:HOPERF_RFM9XW_SMD" (layer "F.Cu") + (tstamp e9748661-fd7c-4e91-b582-c49394b07acb) + (at 123.556 113.6755 90) + (descr "Low Power Long Range Transceiver Module SMD-16 (https://www.hoperf.com/data/upload/portal/20181127/5bfcbea20e9ef.pdf)") + (tags "LoRa Low Power Long Range Transceiver Module") + (property "Need_order" "1") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Low power long range transceiver module, SPI and parallel interface, 433 MHz, spreading factor 6 to12, bandwidth 7.8 to 500kHz, -111 to -148 dBm, SMD-16, DIP-16") + (property "ki_keywords" "Low power long range transceiver module") + (path "/a256fefc-c76f-4953-ac4c-b32a83e22d46") + (attr smd) + (fp_text reference "U1" (at 0 -9.2 90 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp d55d1836-3958-481e-b980-3781ca18be4e) + ) + (fp_text value "RFM98W-433S2" (at 0 9.5 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 59b91c5f-54f5-45cf-99a5-0ebed6892859) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 44d096fb-d516-4ada-aa42-05afef7bb613) + ) + (fp_line (start -8.1 -8.1) (end -8.1 -7.75) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2524393b-dfe6-424e-a048-17c6e97a8797)) + (fp_line (start -8.1 -8.1) (end 8.1 -8.1) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b935ae16-57da-4b75-837c-46746838dd56)) + (fp_line (start -8.1 -7.75) (end -9 -7.75) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 926ebaae-5879-41bf-88b8-a2103722a803)) + (fp_line (start -8.1 7.7) (end -8.1 8.1) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 84e0ba95-1694-446d-a33e-fc2df57cec33)) + (fp_line (start -8.1 8.1) (end 8.1 8.1) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp aa65bf42-648f-4f94-960c-40b6942aa1a6)) + (fp_line (start 8.1 -8.1) (end 8.1 -7.7) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c461645a-6adb-4281-83e2-0294827dce8a)) + (fp_line (start 8.1 8.1) (end 8.1 7.7) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bb207565-ad3c-4785-bbdf-7b2e49056a25)) + (fp_line (start -9.25 -8.25) (end 9.25 -8.25) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 559689ee-963c-473a-aeda-f73aab8dabb4)) + (fp_line (start -9.25 8.25) (end -9.25 -8.25) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b6efdcac-b8db-4170-865b-4424a9bc48bc)) + (fp_line (start -9.25 8.25) (end 9.25 8.25) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d1a798e4-1c7d-443c-a38d-8bc1670bfaac)) + (fp_line (start 9.25 -8.25) (end 9.25 8.25) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2a83247d-d424-4908-91ed-e039816e3d73)) + (fp_line (start -8 8) (end -8 -7) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 692f617a-c3f4-4605-9ef5-1857c1f31f90)) + (fp_line (start -8 8) (end 8 8) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9633f6e1-96fd-41ce-939c-70ad8e477ace)) + (fp_line (start -7 -8) (end -8 -7) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a6948f27-bed6-4b06-8957-d5e7e1d9b23c)) + (fp_line (start -7 -8) (end 8 -8) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2e71aed3-70e4-469b-be13-d7764e1043c9)) + (fp_line (start 8 8) (end 8 -8) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 85b99ab7-ac06-4e75-a866-89b4dcf190ed)) + (pad "1" smd roundrect (at -7.525 -7 90) (size 2.95 1.27) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp d262adbc-933a-4ac0-9dac-5faf47dfee6e)) + (pad "2" smd roundrect (at -7.525 -5 90) (size 2.95 1.27) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 54 "/Control/SPI1_MISO") (pinfunction "MISO") (pintype "output") (tstamp 3468a011-5a40-40c7-93a8-61f5f37884ed)) + (pad "3" smd roundrect (at -7.525 -3 90) (size 2.95 1.27) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 52 "/Control/SPI1_MOSI") (pinfunction "MOSI") (pintype "input") (tstamp a4439761-3531-49d7-8abd-32871d2aa7cf)) + (pad "4" smd roundrect (at -7.525 -1 90) (size 2.95 1.27) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 53 "/Control/SPI1_SCK") (pinfunction "SCK") (pintype "input") (tstamp c79f9e7b-2932-4b6e-af82-bd659cf8a0e6)) + (pad "5" smd roundrect (at -7.525 1 90) (size 2.95 1.27) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 102 "/Control/SPI1_SSn") (pinfunction "NSS") (pintype "input") (tstamp d11163ab-9c35-4771-bd8a-9a80a1595598)) + (pad "6" smd roundrect (at -7.525 3 90) (size 2.95 1.27) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 106 "/Control/LORA_RESET") (pinfunction "RESET") (pintype "bidirectional") (tstamp f0581f5f-9a53-4be1-8c87-5666349f3320)) + (pad "7" smd roundrect (at -7.525 5 90) (size 2.95 1.27) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 114 "unconnected-(U1-DIO5-Pad7)") (pinfunction "DIO5") (pintype "bidirectional+no_connect") (tstamp 170f25cd-b1d0-48f6-ba51-417c529e7b98)) + (pad "8" smd roundrect (at -7.525 7 90) (size 2.95 1.27) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp b7718b92-d309-45b1-9eb9-4d968d3a1d74)) + (pad "9" smd roundrect (at 7.525 7 90) (size 2.95 1.27) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 7 "Net-(J3-In)") (pinfunction "ANT") (pintype "bidirectional") (tstamp 643a4a0f-092f-438b-98f3-8b10ab006a9d)) + (pad "10" smd roundrect (at 7.525 5 90) (size 2.95 1.27) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp df1cd428-e0f1-43be-8e4c-d427cbc2e074)) + (pad "11" smd roundrect (at 7.525 3 90) (size 2.95 1.27) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 115 "unconnected-(U1-DIO3-Pad11)") (pinfunction "DIO3") (pintype "bidirectional+no_connect") (tstamp 334e03ae-6b15-4dd2-9999-a6750b41a995)) + (pad "12" smd roundrect (at 7.525 1 90) (size 2.95 1.27) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 116 "unconnected-(U1-DIO4-Pad12)") (pinfunction "DIO4") (pintype "bidirectional+no_connect") (tstamp f1e506f3-c513-492c-b5cc-a7a12cc533d5)) + (pad "13" smd roundrect (at 7.525 -1 90) (size 2.95 1.27) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 3 "Net-(U1-3.3V)") (pinfunction "3.3V") (pintype "power_in") (tstamp dfe846b1-898c-4d43-983d-e921ef3b05ad)) + (pad "14" smd roundrect (at 7.525 -3 90) (size 2.95 1.27) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 105 "/Control/DIO0") (pinfunction "DIO0") (pintype "bidirectional") (tstamp 3f6db501-f706-4fb8-af0e-1e8d3aaedd1f)) + (pad "15" smd roundrect (at 7.525 -5 90) (size 2.95 1.27) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 117 "unconnected-(U1-DIO1-Pad15)") (pinfunction "DIO1") (pintype "bidirectional+no_connect") (tstamp 67df5562-1af4-4c4d-9513-b1870d79ca04)) + (pad "16" smd roundrect (at 7.525 -7 90) (size 2.95 1.27) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 118 "unconnected-(U1-DIO2-Pad16)") (pinfunction "DIO2") (pintype "bidirectional+no_connect") (tstamp 94fd3b1b-7165-46c0-9017-26019114da86)) + (model "${KICAD7_3DMODEL_DIR}/RF_Module.3dshapes/HOPERF_RFM9XW_SMD.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Connector_PinHeader_2.54mm:PinHeader_1x02_P2.54mm_Vertical" (layer "F.Cu") + (tstamp ebc36411-499c-4f69-b7ab-18338303c3c3) + (at 156.21 110.739) + (descr "Through hole straight pin header, 1x02, 2.54mm pitch, single row") + (tags "Through hole pin header THT 1x02 2.54mm single row") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Generic connector, single row, 01x02, script generated (kicad-library-utils/schlib/autogen/connector/)") + (property "ki_keywords" "connector") + (path "/c5b32f9b-518a-41c8-8d3c-613559fe05ca") + (attr through_hole) + (fp_text reference "J2" (at 0 -2.33 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp 74ec1e2d-60f0-4357-bc67-0c02aed24ddf) + ) + (fp_text value "Battery" (at 0 4.87) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp fc527eaa-4fe9-4bab-a145-f69e89494b0c) + ) + (fp_text user "${REFERENCE}" (at 0 1.27 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 22bfef29-5efb-4ed3-8b45-683820c39951) + ) + (fp_line (start -1.33 -1.33) (end 0 -1.33) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 485f50ea-23de-46a7-9d67-ae2effd9342d)) + (fp_line (start -1.33 0) (end -1.33 -1.33) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0860a55d-481b-4846-97fc-11007b0b8d19)) + (fp_line (start -1.33 1.27) (end -1.33 3.87) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 781bfeb6-82f7-48d2-abb5-1abaa35e3d02)) + (fp_line (start -1.33 1.27) (end 1.33 1.27) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1c9fbfb6-51cf-44b8-a557-c9f8a44fa843)) + (fp_line (start -1.33 3.87) (end 1.33 3.87) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8cde1581-bbba-479f-a858-da5798b1a031)) + (fp_line (start 1.33 1.27) (end 1.33 3.87) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f9ad3378-210f-4f7f-a6b6-ba6992876009)) + (fp_line (start -1.8 -1.8) (end -1.8 4.35) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp fab9cdda-bc30-4fcd-84ce-ff776c1978fa)) + (fp_line (start -1.8 4.35) (end 1.8 4.35) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a8506196-7f43-4912-8141-1cb6e1a235c7)) + (fp_line (start 1.8 -1.8) (end -1.8 -1.8) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp fededde3-bf83-449b-98b3-d3e48dda2841)) + (fp_line (start 1.8 4.35) (end 1.8 -1.8) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 205a63e9-5e31-485e-810e-d12dfd4cdbdd)) + (fp_line (start -1.27 -0.635) (end -0.635 -1.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6ae868f2-4add-4ba8-a66e-c1a611ce584f)) + (fp_line (start -1.27 3.81) (end -1.27 -0.635) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 69f7cb41-a2cc-4b01-ab9c-5673d5c50da4)) + (fp_line (start -0.635 -1.27) (end 1.27 -1.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7d7f1d38-747d-4d6f-bcb2-b7b42a0830f8)) + (fp_line (start 1.27 -1.27) (end 1.27 3.81) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d690b8e6-c600-4246-b3b0-09862c1e0484)) + (fp_line (start 1.27 3.81) (end -1.27 3.81) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp db96296e-11ce-482f-9026-66bae555d32d)) + (pad "1" thru_hole rect (at 0 0) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 2 "GND") (pinfunction "Pin_1") (pintype "passive") (tstamp ce58ed6c-18d7-4d07-9024-4e85c18e81fb)) + (pad "2" thru_hole oval (at 0 2.54) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 41 "/BAT+") (pinfunction "Pin_2") (pintype "passive") (tstamp fd012692-b17a-447e-a2a6-bbc69c549bb7)) + (model "${KICAD6_3DMODEL_DIR}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_1x02_P2.54mm_Vertical.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Inductor_SMD:L_0805_2012Metric_Pad1.05x1.20mm_HandSolder" (layer "F.Cu") + (tstamp ed2480d4-5f12-4bd6-9954-f4b0e0a2afa6) + (at 155.448 155.194 -90) + (descr "Inductor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 80, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "inductor handsolder") + (property "MPN" "LQW2BASR18G00L") + (property "Need_order" "0") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Inductor") + (property "ki_keywords" "inductor choke coil reactor magnetic") + (path "/fd6bc953-18b4-454d-a15e-ecf7d7918d01") + (attr smd) + (fp_text reference "L11" (at 0 -1.55 -90 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp c9ff4d42-112f-47d9-9a5c-d61b1791da07) + ) + (fp_text value "180nH" (at 0 1.55 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp a027f7e5-5682-42cd-be03-ddd7e4f12b46) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.08))) + (tstamp 1c4394b7-7b8f-4248-8068-1ea4f79bd4f8) + ) + (fp_line (start -0.410242 -0.56) (end 0.410242 -0.56) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2b4e6a33-5c4d-4476-8bea-2b075df01574)) + (fp_line (start -0.410242 0.56) (end 0.410242 0.56) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp dcc56ba0-26a2-4666-ac2e-b70f9e3ebd65)) + (fp_line (start -1.92 -0.85) (end 1.92 -0.85) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 520892c5-1054-4684-8a61-afa30c775bb6)) + (fp_line (start -1.92 0.85) (end -1.92 -0.85) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 96ac6437-2a9a-4583-8a65-e01c826b67ba)) + (fp_line (start 1.92 -0.85) (end 1.92 0.85) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 58155104-fc25-4f94-83a9-0a8d3c0a03db)) + (fp_line (start 1.92 0.85) (end -1.92 0.85) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2c4d1b85-6b6f-4694-8c7f-8a0795beed51)) + (fp_line (start -1 -0.45) (end 1 -0.45) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 537934b4-58d0-43bc-a926-0749d6b2366b)) + (fp_line (start -1 0.45) (end -1 -0.45) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4877caa5-5106-45db-802d-d1b2469da0b6)) + (fp_line (start 1 -0.45) (end 1 0.45) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b9a14260-e7d0-4164-9bc0-2048641c13dd)) + (fp_line (start 1 0.45) (end -1 0.45) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2c9dc97e-9dd5-422c-8a59-b01e8cfa4f41)) + (pad "1" smd roundrect (at -1.15 0 270) (size 1.05 1.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.238095) + (net 32 "Net-(D4-K)") (pinfunction "1") (pintype "passive") (tstamp 5db1fc5e-b7d4-419f-9c30-f0de29208896)) + (pad "2" smd roundrect (at 1.15 0 270) (size 1.05 1.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.238095) + (net 60 "Net-(L11-Pad2)") (pinfunction "2") (pintype "passive") (tstamp 0f3da4b1-6bd4-4122-bbcc-2e7761ede596)) + (model "${KICAD6_3DMODEL_DIR}/Inductor_SMD.3dshapes/L_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "F.Cu") + (tstamp eff771a1-646c-43d2-af49-9fc03e71c65c) + (at 167.64 156.464 90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Need_order" "0") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor") + (property "ki_keywords" "R res resistor") + (path "/e6e903cb-d1d2-4c24-bf36-fcdf420f7e48") + (attr smd) + (fp_text reference "R25" (at 0 -1.43 90 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp 0135825c-1573-44a7-ac0f-11aa93b4e317) + ) + (fp_text value "470" (at 0 1.43 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 73e48523-e58a-41db-80fd-390c3238f268) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp aee6856a-a451-4124-b6ca-f0d8295f1a7b) + ) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp da4ac848-9844-491a-9bc1-f5053a3a8238)) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 52fc10f8-0d24-45c9-af98-9fc353cef297)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 559b3e01-9cd3-4a7a-87dc-0753e09ae38a)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 92ed82fd-07e9-4db9-9f60-cbe7093e0001)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9b17e1bd-5304-4062-b323-fa3dc2fdf85c)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 334b22a0-0207-4fa3-9cae-d7f7dfcb706a)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp beea50b2-d387-4358-96f8-d8706db4edd9)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4f2478be-518d-4ac7-8645-9e792e67712f)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 63a2d683-0e22-456a-8420-a2e91ec1dc22)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d5e780af-f190-4682-8593-d3a75fec692d)) + (pad "1" smd roundrect (at -0.9125 0 90) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 24 "Net-(C26-Pad1)") (pintype "passive") (tstamp 285e68d5-4140-4c83-8251-e350aa66e08a)) + (pad "2" smd roundrect (at 0.9125 0 90) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp ba248a6e-0bfa-4a63-bc39-2b0fbf4e0fc9)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" (layer "F.Cu") + (tstamp f53eefe4-fcac-41fb-b39c-560ceb6ef1ba) + (at 104.902 85.725 90) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "MPN" "08055C105JAT2A") + (property "Need_order" "0") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/d9abf060-ab4a-4646-8415-38e45ff2a75f") + (attr smd) + (fp_text reference "C3" (at -2.667 0 90 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp 45fe37f4-22ac-4253-84e1-2a3dd64fee64) + ) + (fp_text value "1uF" (at 0 1.68 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 6dd6ff42-85cf-46bf-b0f1-99076cb904dc) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.08))) + (tstamp 1d4d410e-5a00-4143-a4eb-4792de68c7b2) + ) + (fp_line (start -0.261252 -0.735) (end 0.261252 -0.735) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b81b6451-5f9e-4fb1-b510-afba27e07967)) + (fp_line (start -0.261252 0.735) (end 0.261252 0.735) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a44eda71-216a-4b44-aa37-0d5ee36157b6)) + (fp_line (start -1.88 -0.98) (end 1.88 -0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a57987df-6874-4859-87de-be0d6b13a411)) + (fp_line (start -1.88 0.98) (end -1.88 -0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp fb555ee1-10ef-425f-970d-00d0df9bc195)) + (fp_line (start 1.88 -0.98) (end 1.88 0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7ea73a01-378c-4f05-8cdf-c746f15c1c8a)) + (fp_line (start 1.88 0.98) (end -1.88 0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f2dc882d-1205-4dcd-8a9c-5b9646ecf05e)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c69bdc30-75c9-4fa4-bd2a-8fdfec60d8c9)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 267ee569-a242-4829-ae17-9c86d90ad6b2)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9e23360c-03d4-497e-acde-0144afd421b1)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4a176a9b-1bfa-42c9-8d64-99848892581a)) + (pad "1" smd roundrect (at -1.0375 0 90) (size 1.175 1.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.212766) + (net 2 "GND") (pintype "passive") (tstamp d6a91105-2ed5-42d7-af58-f5c5c05489f3)) + (pad "2" smd roundrect (at 1.0375 0 90) (size 1.175 1.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.212766) + (net 4 "Net-(U3-VCC)") (pintype "passive") (tstamp fb04a913-65c7-4586-8cc9-d97d5a6e7988)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Inductor_SMD:L_1206_3216Metric" (layer "F.Cu") + (tstamp f72722cb-ea6b-47d6-8f07-6174cb7c5492) + (at 160.02 78.994) + (descr "Inductor SMD 1206 (3216 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 80, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "inductor") + (property "MPN" "") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Inductor, small symbol") + (property "ki_keywords" "inductor choke coil reactor magnetic") + (path "/8900f695-7466-4607-980a-90088b9aee43") + (attr smd) + (fp_text reference "L5" (at 0 -3.81 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp 22869c7a-c0a2-4c0e-b0e4-6c7563b149a3) + ) + (fp_text value "2.5nH" (at 0 1.9) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp be55c5e9-8d00-4449-8346-e6ef55c7c81e) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp e7670f42-d38e-489b-a0d9-31b2a9a16b2e) + ) + (fp_line (start -0.835242 -0.91) (end 0.835242 -0.91) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c0413056-dcd5-4104-837a-8cb7551bb632)) + (fp_line (start -0.835242 0.91) (end 0.835242 0.91) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 44afb5c2-7e8f-4e55-b943-5945526e4eee)) + (fp_line (start -2.35 -1.2) (end 2.35 -1.2) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 44557ce9-45f6-4aab-82fe-40ccdb69b20a)) + (fp_line (start -2.35 1.2) (end -2.35 -1.2) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9e109088-05cf-499e-8bff-e82f8836869b)) + (fp_line (start 2.35 -1.2) (end 2.35 1.2) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 21c70197-9825-41da-8262-fd6e972d475d)) + (fp_line (start 2.35 1.2) (end -2.35 1.2) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e35e0d50-7364-4f16-a4c5-0ad303cb773f)) + (fp_line (start -1.6 -0.8) (end 1.6 -0.8) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d120a565-acc6-487f-9f92-46365f2cfad5)) + (fp_line (start -1.6 0.8) (end -1.6 -0.8) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b7c80888-2463-421d-b07e-9baa9d0febe2)) + (fp_line (start 1.6 -0.8) (end 1.6 0.8) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2f001572-ddfa-48d6-b259-d033f03b605a)) + (fp_line (start 1.6 0.8) (end -1.6 0.8) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 08cf016a-11d5-40bf-b385-58f98ee7b46e)) + (pad "1" smd roundrect (at -1.575 0) (size 1.05 1.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.238095) + (net 16 "Net-(C14-Pad1)") (pintype "passive") (tstamp abb622f8-cafc-4548-895c-ccac8a39c39f)) + (pad "2" smd roundrect (at 1.575 0) (size 1.05 1.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.238095) + (net 17 "Net-(Q1-G)") (pintype "passive") (tstamp ebb58ebb-1118-4288-ae73-a37d3d0f2deb)) + (model "${KICAD6_3DMODEL_DIR}/Inductor_SMD.3dshapes/L_1206_3216Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Potentiometer_SMD:Potentiometer_Bourns_3214W_Vertical" (layer "F.Cu") + (tstamp f79e8915-218c-4dbe-99de-8c400240eddb) + (at 151.638 89.662 -90) + (descr "Potentiometer, vertical, Bourns 3214W, https://www.bourns.com/docs/Product-Datasheets/3214.pdf") + (tags "Potentiometer vertical Bourns 3214W") + (property "MPN" "Bourns 3214W-1-103G") + (property "Need_order" "0") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Potentiometer") + (property "ki_keywords" "resistor variable") + (path "/826ba145-8bed-46a9-89b5-eef8fa543f7f") + (attr smd) + (fp_text reference "RV1" (at 3.302 -1.524 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp f2d5d5d4-e105-4d68-8e66-c11fce36f535) + ) + (fp_text value "10k" (at 0 3.5 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 84ece610-7378-494c-a01e-441095db0c94) + ) + (fp_text user "${REFERENCE}" (at 0.6 0 90) (layer "F.Fab") + (effects (font (size 0.6 0.6) (thickness 0.15))) + (tstamp ca6c4379-b9b1-4779-9ce4-d160000dd31a) + ) + (fp_line (start -2.52 -1.87) (end -2.52 1.87) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a147f801-7f8a-4f74-80c2-595b6da93489)) + (fp_line (start -2.52 -1.87) (end -2.14 -1.87) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4290a2f0-1e3f-414e-9b45-07fc05f883aa)) + (fp_line (start -2.52 1.87) (end -1.24 1.87) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4837c9aa-3341-465b-a967-0a88bb841081)) + (fp_line (start -0.36 -1.87) (end 0.36 -1.87) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 24a1c696-048c-41a5-8ed2-320c69e241d6)) + (fp_line (start 1.24 1.87) (end 2.52 1.87) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4dabb9ce-2327-41b2-818a-742dbdad7beb)) + (fp_line (start 2.14 -1.87) (end 2.52 -1.87) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cb6e14a7-69b4-4a95-8efd-f312b2048a86)) + (fp_line (start 2.52 -1.87) (end 2.52 1.87) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 79268cbc-567f-489b-9b63-3fe3444208c4)) + (fp_line (start -2.65 -2.5) (end -2.65 2.5) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a1ba1e85-3a76-4014-b8e0-231ae31c5e4c)) + (fp_line (start -2.65 2.5) (end 2.65 2.5) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0413453c-08fd-4b69-ac28-eb6c99a49ecc)) + (fp_line (start 2.65 -2.5) (end -2.65 -2.5) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8a718833-137f-45f2-bc64-c4b2e68cb3c0)) + (fp_line (start 2.65 2.5) (end 2.65 -2.5) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1991328f-c27d-4cb3-a16b-15186fe2a69b)) + (fp_line (start -2.4 -1.75) (end -2.4 1.75) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 928d032c-d1d6-4bc3-a6f5-fd3a405c4255)) + (fp_line (start -2.4 1.75) (end 2.4 1.75) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4743edc0-49e0-4eb4-aa62-8373a2cd89a9)) + (fp_line (start -1.2 1.393) (end -1.199 -0.092) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 17479a75-af42-4732-93e3-ee8bc4ecd029)) + (fp_line (start -1.2 1.393) (end -1.199 -0.092) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c55121f0-bd9f-47ce-8f29-5ba4e2ca04cd)) + (fp_line (start 2.4 -1.75) (end -2.4 -1.75) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c69bcbaf-6946-4e01-8754-bbfefaa682fc)) + (fp_line (start 2.4 1.75) (end 2.4 -1.75) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 76f72d20-8d7f-4374-a508-d10dd7b4917b)) + (fp_circle (center -1.2 0.65) (end -0.45 0.65) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp eebc15e9-1415-46a0-b37c-43d948c7489d)) + (pad "1" smd rect (at 1.25 -1.45 270) (size 1.3 1.6) (layers "F.Cu" "F.Paste" "F.Mask") + (net 79 "Net-(R10-Pad2)") (pinfunction "1") (pintype "passive") (tstamp c817f121-b1c2-4266-9672-f852c23fcb7c)) + (pad "2" smd rect (at 0 1.45 270) (size 2 1.6) (layers "F.Cu" "F.Paste" "F.Mask") + (net 14 "Net-(C12-Pad1)") (pinfunction "2") (pintype "passive") (tstamp 5fb954b1-4cfa-4340-a766-d64ff7d718e4)) + (pad "3" smd rect (at -1.25 -1.45 270) (size 1.3 1.6) (layers "F.Cu" "F.Paste" "F.Mask") + (net 77 "Net-(R9-Pad1)") (pinfunction "3") (pintype "passive") (tstamp 1cc939c0-fa46-4eca-bcd5-7697b1356d9c)) + (model "${KICAD6_3DMODEL_DIR}/Potentiometer_SMD.3dshapes/Potentiometer_Bourns_3214W_Vertical.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Package_QFP:LQFP-48_7x7mm_P0.5mm" (layer "F.Cu") + (tstamp f8fd000f-3183-47a1-bb0b-e9ff144079db) + (at 119.126 142.748 -90) + (descr "LQFP, 48 Pin (https://www.analog.com/media/en/technical-documentation/data-sheets/ltc2358-16.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py") + (tags "LQFP QFP") + (property "Sheetfile" "control.kicad_sch") + (property "Sheetname" "Control") + (property "ki_description" "STMicroelectronics Arm Cortex-M3 MCU, 64KB flash, 20KB RAM, 72 MHz, 2.0-3.6V, 37 GPIO, LQFP48") + (property "ki_keywords" "Arm Cortex-M3 STM32F1 STM32F103") + (path "/717d30dc-b4e1-489a-b7b3-52f4b7c65672/6fa040c1-5cfc-4c95-a21c-977b26aaef34") + (attr smd) + (fp_text reference "U5" (at 0 -5.85 -90 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp 5b60ac29-9696-433c-8da0-0b83dcfa1b58) + ) + (fp_text value "STM32F103C8Tx" (at 0 5.85 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 77c2d9f1-870b-4303-ab4e-aeade899b1cf) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 797cb2d8-8f53-4089-b332-c0bbd2e1e519) + ) + (fp_line (start -3.61 -3.61) (end -3.61 -3.16) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6eed483d-9c79-4306-b813-76bc95155936)) + (fp_line (start -3.61 -3.16) (end -4.9 -3.16) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f975f73f-1999-4038-b226-7c435d79b97a)) + (fp_line (start -3.61 3.61) (end -3.61 3.16) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a4f2ed1e-8553-45f5-94d7-6a0cfd2dedf2)) + (fp_line (start -3.16 -3.61) (end -3.61 -3.61) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp edc12dde-fba1-461b-af96-e56a94a2f087)) + (fp_line (start -3.16 3.61) (end -3.61 3.61) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7624cfb4-2f5f-4eae-b3dd-8311c0c556f6)) + (fp_line (start 3.16 -3.61) (end 3.61 -3.61) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 765532ac-d919-45b1-90c5-a0046a02a8e8)) + (fp_line (start 3.16 3.61) (end 3.61 3.61) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a2f654c2-a72d-46ae-9344-3f1edb0d014f)) + (fp_line (start 3.61 -3.61) (end 3.61 -3.16) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 01df3ffc-4df2-4e56-9660-b52ba6ccbad8)) + (fp_line (start 3.61 3.61) (end 3.61 3.16) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp df98ae0c-415b-4b90-8eee-514ba90b5d73)) + (fp_line (start -5.15 -3.15) (end -5.15 0) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4b1bd5be-b533-4ddb-bba2-8abf372210c6)) + (fp_line (start -5.15 3.15) (end -5.15 0) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp da69ee2c-395f-49d2-89ea-95c6b66e4009)) + (fp_line (start -3.75 -3.75) (end -3.75 -3.15) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b576f3bd-c544-4fb3-b918-b2c0df14fd0e)) + (fp_line (start -3.75 -3.15) (end -5.15 -3.15) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3f0a38ad-32b7-4ee7-b096-669e0dd64f18)) + (fp_line (start -3.75 3.15) (end -5.15 3.15) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp bf0da9f0-692e-48b2-98e5-f3d80095a25e)) + (fp_line (start -3.75 3.75) (end -3.75 3.15) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 97b41b48-dc62-4f75-bb39-3c90d488bb11)) + (fp_line (start -3.15 -5.15) (end -3.15 -3.75) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0056e9c2-25da-482b-9d7d-d94560b1fa31)) + (fp_line (start -3.15 -3.75) (end -3.75 -3.75) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 262daaae-4a11-4549-9285-6d70ffec2fdf)) + (fp_line (start -3.15 3.75) (end -3.75 3.75) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp fe6811a0-b629-401a-a88a-55a1c8213264)) + (fp_line (start -3.15 5.15) (end -3.15 3.75) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 40099dbb-2a81-46de-87e7-d0fb9b48af72)) + (fp_line (start 0 -5.15) (end -3.15 -5.15) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp befeaf08-df37-49fe-9a47-92616a2b6a68)) + (fp_line (start 0 -5.15) (end 3.15 -5.15) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 983a6e36-bd30-4a09-bebf-5075aa643003)) + (fp_line (start 0 5.15) (end -3.15 5.15) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d7f43521-b575-417c-81b1-9d99ad42f5ae)) + (fp_line (start 0 5.15) (end 3.15 5.15) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a533cb8a-79ff-4b98-942a-5695642ee7c6)) + (fp_line (start 3.15 -5.15) (end 3.15 -3.75) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 100171cd-37cf-49c4-a208-26040b49dda8)) + (fp_line (start 3.15 -3.75) (end 3.75 -3.75) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 61a8f760-9d46-4134-944f-bf300222773e)) + (fp_line (start 3.15 3.75) (end 3.75 3.75) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp cb4fe1d1-4bf7-466e-b9fe-0b02b2c2b717)) + (fp_line (start 3.15 5.15) (end 3.15 3.75) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b5ff4fe6-17fa-4537-a0eb-1ea759e4b638)) + (fp_line (start 3.75 -3.75) (end 3.75 -3.15) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 46a92bb8-25b9-404b-95a3-e94834c1f0b6)) + (fp_line (start 3.75 -3.15) (end 5.15 -3.15) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2170d402-48dc-47aa-883a-24885ff00390)) + (fp_line (start 3.75 3.15) (end 5.15 3.15) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e8a995e0-45f7-4712-b9d6-1e3968f997ed)) + (fp_line (start 3.75 3.75) (end 3.75 3.15) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 16fcf2e1-2c98-4ca3-a39c-2daffd86e4ef)) + (fp_line (start 5.15 -3.15) (end 5.15 0) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8ccc89d8-775c-420d-a6d6-11d1397afd9a)) + (fp_line (start 5.15 3.15) (end 5.15 0) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1464b0c6-d340-46c9-9055-6aebf2080664)) + (fp_line (start -3.5 -2.5) (end -2.5 -3.5) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d019e8d9-2e22-4690-a94c-669045e89b49)) + (fp_line (start -3.5 3.5) (end -3.5 -2.5) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 69f1b71f-9aa4-4a6f-bf74-b5a935518452)) + (fp_line (start -2.5 -3.5) (end 3.5 -3.5) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 99d1d8ee-3301-4642-a020-a994d87b2a18)) + (fp_line (start 3.5 -3.5) (end 3.5 3.5) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 35c5fc23-c868-4d39-b5ce-b98da7360471)) + (fp_line (start 3.5 3.5) (end -3.5 3.5) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b7f2e3c4-11dc-4b1a-9b6d-3777ff8b2896)) + (pad "1" smd roundrect (at -4.1625 -2.75 270) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 100 "unconnected-(U5-VBAT-Pad1)") (pinfunction "VBAT") (pintype "power_in+no_connect") (tstamp 2a57f1fc-52bb-4947-b246-53074937485d)) + (pad "2" smd roundrect (at -4.1625 -2.25 270) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 80 "/Control/BTN1n") (pinfunction "PC13") (pintype "bidirectional") (tstamp 17b9d9d7-9c27-4edc-a6c7-baba419578af)) + (pad "3" smd roundrect (at -4.1625 -1.75 270) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 81 "/Control/BTN2n") (pinfunction "PC14") (pintype "bidirectional") (tstamp ff3fdd42-3ba9-4792-a67f-b8b87f9b7826)) + (pad "4" smd roundrect (at -4.1625 -1.25 270) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 82 "/Control/BTN3n") (pinfunction "PC15") (pintype "bidirectional") (tstamp 4dc55039-076d-42c8-9f67-126f82b4efc2)) + (pad "5" smd roundrect (at -4.1625 -0.75 270) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 83 "/Control/XTAL1") (pinfunction "PD0") (pintype "bidirectional") (tstamp 132b314f-f453-46db-bef7-8a87b7f134ec)) + (pad "6" smd roundrect (at -4.1625 -0.25 270) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 84 "/Control/XTAL2") (pinfunction "PD1") (pintype "bidirectional") (tstamp 2709a833-b53e-4b26-925d-ae00b56a0636)) + (pad "7" smd roundrect (at -4.1625 0.25 270) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 49 "/Control/RESETn") (pinfunction "NRST") (pintype "input") (tstamp cf9ab85d-ac8c-48c3-afe1-18b2a227dd8e)) + (pad "8" smd roundrect (at -4.1625 0.75 270) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "VSSA") (pintype "power_in") (tstamp 27e525b1-ed59-41ca-bb67-5ca3a34adacd)) + (pad "9" smd roundrect (at -4.1625 1.25 270) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 26 "Net-(U5-VDDA)") (pinfunction "VDDA") (pintype "power_in") (tstamp 3566b09a-c30b-44d0-bf44-30daa8300ac7)) + (pad "10" smd roundrect (at -4.1625 1.75 270) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 67 "/Control/BAT_MEAS") (pinfunction "PA0") (pintype "bidirectional") (tstamp f09d2738-6806-49ea-b6c2-17c8145ca413)) + (pad "11" smd roundrect (at -4.1625 2.25 270) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 101 "unconnected-(U5-PA1-Pad11)") (pinfunction "PA1") (pintype "bidirectional") (tstamp fec9c48f-b9e3-4825-b877-107fe81d1d69)) + (pad "12" smd roundrect (at -4.1625 2.75 270) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 57 "/Control/SD_DET") (pinfunction "PA2") (pintype "bidirectional") (tstamp 7f5f3acb-4365-48d1-bac8-634253b0573f)) + (pad "13" smd roundrect (at -2.75 4.1625 270) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 51 "/Control/SD_SSn") (pinfunction "PA3") (pintype "bidirectional") (tstamp 3684e2ad-d451-4075-bc65-5f949fb8765e)) + (pad "14" smd roundrect (at -2.25 4.1625 270) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 102 "/Control/SPI1_SSn") (pinfunction "PA4") (pintype "bidirectional") (tstamp 231696bf-c933-4145-bd34-fe468c1805c2)) + (pad "15" smd roundrect (at -1.75 4.1625 270) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 53 "/Control/SPI1_SCK") (pinfunction "PA5") (pintype "bidirectional") (tstamp 4fd43178-8d49-486b-a1e2-f2bcb24226d5)) + (pad "16" smd roundrect (at -1.25 4.1625 270) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 54 "/Control/SPI1_MISO") (pinfunction "PA6") (pintype "bidirectional") (tstamp 9aa3e36f-3bb8-48db-a786-735fd7661d3b)) + (pad "17" smd roundrect (at -0.75 4.1625 270) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 52 "/Control/SPI1_MOSI") (pinfunction "PA7") (pintype "bidirectional") (tstamp 7d0b6d9f-a7f7-4fb2-8a63-845c665d5a9c)) + (pad "18" smd roundrect (at -0.25 4.1625 270) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 103 "/Control/PB0") (pinfunction "PB0") (pintype "bidirectional") (tstamp 1e812871-6d7d-43e3-9ad9-883761d3f44b)) + (pad "19" smd roundrect (at 0.25 4.1625 270) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 104 "/Control/PB1") (pinfunction "PB1") (pintype "bidirectional") (tstamp b2e3116d-6b5c-4215-8f54-d2ac263c712a)) + (pad "20" smd roundrect (at 0.75 4.1625 270) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 88 "/Control/BOOT1") (pinfunction "PB2") (pintype "bidirectional") (tstamp e86da665-ff6b-43b9-a6b6-9953c68e02b2)) + (pad "21" smd roundrect (at 1.25 4.1625 270) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 105 "/Control/DIO0") (pinfunction "PB10") (pintype "bidirectional") (tstamp e4b35773-5716-4dfb-8646-c8886b34749f)) + (pad "22" smd roundrect (at 1.75 4.1625 270) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 106 "/Control/LORA_RESET") (pinfunction "PB11") (pintype "bidirectional") (tstamp 63e8fe9f-2f02-46e7-a7b0-ef8433e6725c)) + (pad "23" smd roundrect (at 2.25 4.1625 270) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "VSS") (pintype "power_in") (tstamp e9827855-3754-44d0-9b64-26d9a0e1e51c)) + (pad "24" smd roundrect (at 2.75 4.1625 270) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 5 "+3V3") (pinfunction "VDD") (pintype "power_in") (tstamp 492109f0-b221-4fb3-92c5-d594a97b6504)) + (pad "25" smd roundrect (at 4.1625 2.75 270) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 107 "Net-(SW4-D0)") (pinfunction "PB12") (pintype "bidirectional") (tstamp a7f55ae2-5c1e-43e2-aa48-965b2f08a8e3)) + (pad "26" smd roundrect (at 4.1625 2.25 270) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 108 "Net-(SW4-D1)") (pinfunction "PB13") (pintype "bidirectional") (tstamp 2305fb48-c70b-49e3-a21e-f65ac780bc68)) + (pad "27" smd roundrect (at 4.1625 1.75 270) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 109 "Net-(SW4-D2)") (pinfunction "PB14") (pintype "bidirectional") (tstamp 55d05a6c-43cd-4fb3-aa9b-d6d434c2cd65)) + (pad "28" smd roundrect (at 4.1625 1.25 270) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 110 "Net-(SW4-D3)") (pinfunction "PB15") (pintype "bidirectional") (tstamp d620e40c-c47a-42db-a6e9-871d2345a229)) + (pad "29" smd roundrect (at 4.1625 0.75 270) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 111 "unconnected-(U5-PA8-Pad29)") (pinfunction "PA8") (pintype "bidirectional") (tstamp 6f531e19-7831-4dce-8a43-7079f8df77d9)) + (pad "30" smd roundrect (at 4.1625 0.25 270) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 71 "/Control/USART1_TX") (pinfunction "PA9") (pintype "bidirectional") (tstamp 711e021c-d947-4aa4-b2d8-4bc74bef7a3c)) + (pad "31" smd roundrect (at 4.1625 -0.25 270) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 69 "/Control/USART1_RX") (pinfunction "PA10") (pintype "bidirectional") (tstamp 039926fa-c48c-47a3-8959-ca1226d89d73)) + (pad "32" smd roundrect (at 4.1625 -0.75 270) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 85 "Net-(U5-PA11)") (pinfunction "PA11") (pintype "bidirectional") (tstamp ea0c379c-4406-4d5a-91d8-0bd381294967)) + (pad "33" smd roundrect (at 4.1625 -1.25 270) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 86 "Net-(U5-PA12)") (pinfunction "PA12") (pintype "bidirectional") (tstamp 7aa4dabc-3a57-4e5b-b27c-8bcd5e2f9c11)) + (pad "34" smd roundrect (at 4.1625 -1.75 270) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 48 "/Control/SWDIO") (pinfunction "PA13") (pintype "bidirectional") (tstamp 160539e8-c92b-424f-b9ec-e1b54ef1ab9a)) + (pad "35" smd roundrect (at 4.1625 -2.25 270) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "VSS") (pintype "passive") (tstamp 9fcaae20-8a18-406c-bc09-859dadc89cf7)) + (pad "36" smd roundrect (at 4.1625 -2.75 270) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 5 "+3V3") (pinfunction "VDD") (pintype "power_in") (tstamp 397d33b9-57ae-49b9-bb59-276ad06ff3d0)) + (pad "37" smd roundrect (at 2.75 -4.1625 270) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 47 "/Control/SWCLK") (pinfunction "PA14") (pintype "bidirectional") (tstamp 8634e580-d44b-469e-9cf5-69f4046c24bb)) + (pad "38" smd roundrect (at 2.25 -4.1625 270) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 112 "unconnected-(U5-PA15-Pad38)") (pinfunction "PA15") (pintype "bidirectional") (tstamp 25567564-7500-4b8f-b986-c8a4cecdfb20)) + (pad "39" smd roundrect (at 1.75 -4.1625 270) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 63 "/EN_PA") (pinfunction "PB3") (pintype "bidirectional") (tstamp ebee77cc-0d73-4504-98ce-71d289a7e679)) + (pad "40" smd roundrect (at 1.25 -4.1625 270) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 42 "/EN_RX") (pinfunction "PB4") (pintype "bidirectional") (tstamp bde3dbef-078f-4d2e-9d64-dab16afab77c)) + (pad "41" smd roundrect (at 0.75 -4.1625 270) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 113 "unconnected-(U5-PB5-Pad41)") (pinfunction "PB5") (pintype "bidirectional") (tstamp aa52ce03-0254-405e-8d65-ead1773b320e)) + (pad "42" smd roundrect (at 0.25 -4.1625 270) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 75 "/Control/SCL") (pinfunction "PB6") (pintype "bidirectional") (tstamp 3d7b2037-771f-4a5b-aedf-d61e162b566e)) + (pad "43" smd roundrect (at -0.25 -4.1625 270) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 73 "/Control/SDA") (pinfunction "PB7") (pintype "bidirectional") (tstamp 9c568b9c-95ef-4d54-83a4-cecef5111b2b)) + (pad "44" smd roundrect (at -0.75 -4.1625 270) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 87 "/Control/BOOT0") (pinfunction "BOOT0") (pintype "input") (tstamp 42ac15f4-1de7-406e-95f1-c2c87d38066a)) + (pad "45" smd roundrect (at -1.25 -4.1625 270) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 39 "/Control/STATUSn") (pinfunction "PB8") (pintype "bidirectional") (tstamp 0107764b-3c3a-4215-80a9-f526dd3b230c)) + (pad "46" smd roundrect (at -1.75 -4.1625 270) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 37 "/Control/LED_TXn") (pinfunction "PB9") (pintype "bidirectional") (tstamp 1fff5a8c-1653-498e-becb-4581683bb07b)) + (pad "47" smd roundrect (at -2.25 -4.1625 270) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "VSS") (pintype "passive") (tstamp 1a592e15-18eb-441e-9372-00fe01b1a9f0)) + (pad "48" smd roundrect (at -2.75 -4.1625 270) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 5 "+3V3") (pinfunction "VDD") (pintype "power_in") (tstamp adac72b5-2ba7-47ae-a92c-f6f63dad5972)) + (model "${KICAD6_3DMODEL_DIR}/Package_QFP.3dshapes/LQFP-48_7x7mm_P0.5mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Inductor_SMD:L_0805_2012Metric_Pad1.05x1.20mm_HandSolder" (layer "F.Cu") + (tstamp f933aa69-5a6a-4b22-a086-944ac7366fa9) + (at 165.862 156.21 -90) + (descr "Inductor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 80, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "inductor handsolder") + (property "MPN" "LQW2BASR18G00L") + (property "Need_order" "0") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Inductor") + (property "ki_keywords" "inductor choke coil reactor magnetic") + (path "/aaa56e15-4685-44f9-92b3-dbfbfead6a35") + (attr smd) + (fp_text reference "L14" (at 0 -1.55 -90 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp 8b2da193-978a-413f-bc0a-5d32393bddb8) + ) + (fp_text value "180nH" (at 0 1.55 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 57aed59b-355f-46d0-8359-1d5fd9581fe2) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.08))) + (tstamp 8fb1020f-8aa4-4167-bff2-b2bceea15f91) + ) + (fp_line (start -0.410242 -0.56) (end 0.410242 -0.56) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a1976f27-298c-43d9-a4b3-b6ec15a1982e)) + (fp_line (start -0.410242 0.56) (end 0.410242 0.56) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1ac9fd76-e8d4-4f06-b755-ce624ce1d10e)) + (fp_line (start -1.92 -0.85) (end 1.92 -0.85) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c100a161-9439-4d78-9a90-07efcd50fd37)) + (fp_line (start -1.92 0.85) (end -1.92 -0.85) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4197ea47-5019-497d-9bc7-cee0c20ed485)) + (fp_line (start 1.92 -0.85) (end 1.92 0.85) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 64113072-4ece-4201-b387-bee46f301e9d)) + (fp_line (start 1.92 0.85) (end -1.92 0.85) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d37688be-739f-4454-be68-2317edada0e5)) + (fp_line (start -1 -0.45) (end 1 -0.45) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 52be26cf-71d4-405f-a18b-7c8dfb1f13c9)) + (fp_line (start -1 0.45) (end -1 -0.45) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7663c663-ac42-4ee5-8056-9f9e97e1dd7c)) + (fp_line (start 1 -0.45) (end 1 0.45) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f0982108-5a2a-45e8-8668-39524b402814)) + (fp_line (start 1 0.45) (end -1 0.45) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e004ce1d-2c90-489c-9c61-d11c7632f7fd)) + (pad "1" smd roundrect (at -1.15 0 270) (size 1.05 1.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.238095) + (net 34 "Net-(D5-K)") (pinfunction "1") (pintype "passive") (tstamp e927ae97-ccc6-4b1a-af06-485575881fa3)) + (pad "2" smd roundrect (at 1.15 0 270) (size 1.05 1.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.238095) + (net 24 "Net-(C26-Pad1)") (pinfunction "2") (pintype "passive") (tstamp a344d9dc-f632-4a51-8c88-784a9e51502e)) + (model "${KICAD6_3DMODEL_DIR}/Inductor_SMD.3dshapes/L_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder" (layer "F.Cu") + (tstamp f93ff1ce-70bf-462a-b4f2-1b9059d526a5) + (at 120.2425 161.036) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "MPN" "GRM188R71H104KA93D") + (property "Need_order" "0") + (property "Sheetfile" "control.kicad_sch") + (property "Sheetname" "Control") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/717d30dc-b4e1-489a-b7b3-52f4b7c65672/73f2c646-aab6-4825-b7d5-e7b2d05b5744") + (attr smd) + (fp_text reference "C34" (at 0 -1.43 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp f69e6769-0a2d-4409-8452-5b7957d87f54) + ) + (fp_text value "0.1uF" (at 0 1.43) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp b9b033f9-0089-40a3-b4ad-64d00f6b35ee) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp f358f18d-a933-4add-939b-75b9e0f7ad80) + ) + (fp_line (start -0.146267 -0.51) (end 0.146267 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e2b1b466-2ad7-43a0-b57b-5fe328d43f19)) + (fp_line (start -0.146267 0.51) (end 0.146267 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9e870704-9f1e-4b59-b2f8-a6c225d927a3)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b302a72c-4764-4bdf-856e-70753c437e7d)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b9d9637f-64df-4c58-bce4-bf16985c7c9f)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp fcd04d10-b54e-460a-94c6-40955c11f0c8)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e560d2f0-7392-4597-a8f7-73f76fb44102)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9ea3c492-68ea-4951-8b8d-aa7412accbba)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 82b1f3af-ac61-4326-90ca-13900f20a169)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3a95c00b-4dd4-4430-86aa-25d6061b12f8)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3920f248-81b0-4838-a280-7c3bd47b3970)) + (pad "1" smd roundrect (at -0.8625 0) (size 1.075 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 5 "+3V3") (pintype "passive") (tstamp 6684dd42-032e-4e09-9d6f-f654a6010178)) + (pad "2" smd roundrect (at 0.8625 0) (size 1.075 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp a818aab3-8a4f-4718-bdc3-0b60d26c9921)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "F.Cu") + (tstamp fbedaa93-8943-44e2-8a59-85914a3692d4) + (at 103.632 82.931 180) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Need_order" "0") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor") + (property "ki_keywords" "R res resistor") + (path "/1c82fbec-30ee-4ee4-8982-817d4666df90") + (attr smd) + (fp_text reference "R4" (at 3.683 0 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp 7cd7539d-6b2a-46ad-a86f-d88ad79e40a5) + ) + (fp_text value "0" (at 0 1.43) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp ad0d637d-0355-43c1-aa8a-dc47b2daad9a) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 578809c9-2d01-41d7-bdd3-3d458558b1f5) + ) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6e6910ae-2c57-4634-abbd-21f5b0d64f1b)) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 45ec236a-bf2f-4ab2-8ee1-2d00edc1cc5e)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 42fd22a5-d743-4ec8-818c-3de4374d5dc3)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 193d52bc-0c64-4292-b92c-8de3d42124fd)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 08edd431-8c29-4a74-a390-a60ff1127cb1)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 67db8480-11e0-4a8e-a2ad-f46bf9c0b1fd)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e1658c0c-6af3-4e87-af28-bdefae7b24d5)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9dcda524-511a-4a07-848d-510b93cd4c8e)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp bcd17149-7f78-4fc3-b402-230bed3e9157)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d9d7cdd0-2b84-46e5-bff3-735b706c4fb9)) + (pad "1" smd roundrect (at -0.9125 0 180) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "Net-(U3-RXD{slash}SPI_MOSI)") (pintype "passive") (tstamp 2ee088d5-0be1-4761-a4fc-8361abd54039)) + (pad "2" smd roundrect (at 0.9125 0 180) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 71 "/Control/USART1_TX") (pintype "passive") (tstamp 5a379e72-4690-4ffc-b3ff-4a49ad5337fd)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "mpb:PLD-1.5W" (layer "F.Cu") + (tstamp fc7f30f5-f8a2-4151-ae6d-8a5d34d97efd) + (at 173.482 94.036) + (property "Need_order" "0") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "N-MOSFET transistor, gate/drain/source") + (property "ki_keywords" "transistor NMOS N-MOS N-MOSFET") + (path "/6d66b661-72c6-4ec0-b684-ddbd5a46df34") + (attr through_hole) + (fp_text reference "Q1" (at -6.25 -0.75 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp 5378ca12-57c3-4e9e-aff1-fd7fbd50dfdc) + ) + (fp_text value "AFT09MS007NT1" (at -7.5 0.5) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp a074c133-6894-4d96-9cac-1d05e3192697) + ) + (fp_line (start -3.75 -3) (end -2.75 -3) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp a9fca44d-4c0d-4e47-a909-85644d27cc44)) + (fp_line (start -3.75 -2.5) (end -2.75 -2.5) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp efba9cc3-34d9-4197-8235-91123a98df64)) + (fp_line (start -3.75 -1.25) (end -3.75 -3) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 9f56279d-c706-4207-9702-a3405a8b68ed)) + (fp_line (start -3.75 3.25) (end -3.75 1.25) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 31bc27a7-7538-4120-a3e2-28297e5d682a)) + (fp_line (start -3.75 3.25) (end -2.75 3.25) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 8f5ce196-ca24-42b1-9d1b-ee4ebac110cd)) + (fp_line (start -2.75 -2.5) (end -2.75 -3) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp b222a36a-2db5-4df5-8272-5ecbb2572e8b)) + (fp_line (start 2.75 -2.75) (end 2.75 -3) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 255f851e-d575-4a49-8a65-4cfbbdde0bdd)) + (fp_line (start 2.75 -2.5) (end 2.75 -2.75) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp ba5c5fa8-1dad-4140-9369-bc785d5e2573)) + (fp_line (start 3.75 -3) (end 2.75 -3) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 5fb77e3f-8c2b-489a-a66f-283f774fcc2b)) + (fp_line (start 3.75 -2.5) (end 2.75 -2.5) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp e13f48f4-2dc1-423c-94a1-29470799fd76)) + (fp_line (start 3.75 -1.25) (end 3.75 -3) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp db308fd3-25a3-4439-8ebc-5f283e3b841f)) + (fp_line (start 3.75 3.25) (end 2.75 3.25) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp b1643a3c-1e1b-4ab9-b5ee-e5db8d050df4)) + (fp_line (start 3.75 3.25) (end 3.75 1.25) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp cdd691ef-c458-432b-b0f0-a74747293021)) + (pad "1" smd rect (at 0 -3.5) (size 5 3.16) (layers "F.Cu" "F.Paste" "F.Mask") + (net 17 "Net-(Q1-G)") (pinfunction "G") (pintype "input") (tstamp 97a17355-3e34-4384-b2ac-70e82d6d2255)) + (pad "2" smd rect (at 0 3.5) (size 5 3.16) (layers "F.Cu" "F.Paste" "F.Mask") + (net 19 "Net-(Q1-D)") (pinfunction "D") (pintype "passive") (tstamp 9070640f-ec0b-4c6b-82f1-455ff6db46b4)) + (pad "3" thru_hole circle (at -3 -0.6) (size 0.6 0.6) (drill 0.5) (layers "*.Cu" "*.Mask") + (net 2 "GND") (pinfunction "S") (pintype "passive") (tstamp abbb1f94-6c0a-4e50-ad1a-4ac38c292713)) + (pad "3" thru_hole circle (at -3 0.65) (size 0.6 0.6) (drill 0.5) (layers "*.Cu" "*.Mask") + (net 2 "GND") (pinfunction "S") (pintype "passive") (tstamp 50882a48-75ed-489e-b3e8-3528ff1c6c27)) + (pad "3" thru_hole circle (at -2.25 0) (size 0.6 0.6) (drill 0.5) (layers "*.Cu" "*.Mask") + (net 2 "GND") (pinfunction "S") (pintype "passive") (tstamp cb1b47fc-9448-4f7b-a8e1-e8b757900865)) + (pad "3" thru_hole circle (at -1.5 -0.6) (size 0.6 0.6) (drill 0.5) (layers "*.Cu" "*.Mask") + (net 2 "GND") (pinfunction "S") (pintype "passive") (tstamp 110a4e4d-b320-4b29-98c5-dfa9bde9f042)) + (pad "3" thru_hole circle (at -1.5 0.65) (size 0.6 0.6) (drill 0.5) (layers "*.Cu" "*.Mask") + (net 2 "GND") (pinfunction "S") (pintype "passive") (tstamp 9718df03-8f42-4bdb-a558-3e48f45db799)) + (pad "3" thru_hole circle (at -0.75 0) (size 0.6 0.6) (drill 0.5) (layers "*.Cu" "*.Mask") + (net 2 "GND") (pinfunction "S") (pintype "passive") (tstamp 0a7af4a9-f218-437f-a8b1-d782995a081a)) + (pad "3" thru_hole circle (at 0 -0.6) (size 0.6 0.6) (drill 0.5) (layers "*.Cu" "*.Mask") + (net 2 "GND") (pinfunction "S") (pintype "passive") (tstamp c19bc393-3136-48a4-9f2c-7d74405e0f83)) + (pad "3" smd rect (at 0 0) (size 8.1 2.25) (layers "F.Cu" "F.Paste" "F.Mask") + (net 2 "GND") (pinfunction "S") (pintype "passive") (tstamp 4a231955-7807-4189-b1d4-6a4e9a1ec0d9)) + (pad "3" thru_hole circle (at 0 0.65) (size 0.6 0.6) (drill 0.5) (layers "*.Cu" "*.Mask") + (net 2 "GND") (pinfunction "S") (pintype "passive") (tstamp 6bfe6452-4afb-481d-bb87-29aa797ab6eb)) + (pad "3" thru_hole circle (at 0.75 0) (size 0.6 0.6) (drill 0.5) (layers "*.Cu" "*.Mask") + (net 2 "GND") (pinfunction "S") (pintype "passive") (tstamp ff488f5d-e70a-46b4-ab87-4fb6d3c638d2)) + (pad "3" thru_hole circle (at 1.5 -0.6) (size 0.6 0.6) (drill 0.5) (layers "*.Cu" "*.Mask") + (net 2 "GND") (pinfunction "S") (pintype "passive") (tstamp dce0422c-c3a4-4e29-9f79-e899b7561c15)) + (pad "3" thru_hole circle (at 1.5 0.65) (size 0.6 0.6) (drill 0.5) (layers "*.Cu" "*.Mask") + (net 2 "GND") (pinfunction "S") (pintype "passive") (tstamp 7af30ae7-d1e8-4f34-b0fd-d3062910a455)) + (pad "3" thru_hole circle (at 2.25 0) (size 0.6 0.6) (drill 0.5) (layers "*.Cu" "*.Mask") + (net 2 "GND") (pinfunction "S") (pintype "passive") (tstamp dfcc1aef-cef7-46db-98df-5e7bbdc12e36)) + (pad "3" thru_hole circle (at 3 -0.6) (size 0.6 0.6) (drill 0.5) (layers "*.Cu" "*.Mask") + (net 2 "GND") (pinfunction "S") (pintype "passive") (tstamp ca2f69e1-48d2-4228-be67-71c2f42935a6)) + (pad "3" thru_hole circle (at 3 0.65) (size 0.6 0.6) (drill 0.5) (layers "*.Cu" "*.Mask") + (net 2 "GND") (pinfunction "S") (pintype "passive") (tstamp feaee4be-cba3-4c82-a6b3-ec988ca13395)) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "F.Cu") + (tstamp fd0634c6-5d51-4ae1-a159-c029dbf59818) + (at 150.749 86.106 180) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Need_order" "0") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor") + (property "ki_keywords" "R res resistor") + (path "/203590ff-9444-4d4e-98cd-e4d30d7d0111") + (attr smd) + (fp_text reference "R9" (at 0 1.27 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1))) + (tstamp 97c5a5cd-a553-4043-91dd-cdcf6e39d397) + ) + (fp_text value "1k" (at 0 1.43) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 726a5fe6-eed8-4e4d-8a85-a33dad0766f6) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp c66c594b-2393-4357-9167-43a61a4d67ba) + ) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 031eb20f-bf17-428c-a8af-d76d92f81565)) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c00654b2-f900-4d51-9359-fbbd221688d9)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f924dc00-17de-4ee1-bff8-f04809d848e0)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d2b20d93-27b9-4052-9118-6b6db549170d)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b8f7745e-89c3-4957-baf7-7e4634c669de)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1a7c0e9d-2859-444e-af87-d7090d2a09ff)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3314deaf-a20e-45f0-be0c-cc94d67f0121)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7d1bd97b-39b3-4fa8-8b43-3f49de831fbe)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 763fcb48-c248-4b3b-aa63-393c61e9c7d4)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp da7997b3-f2c4-4213-bf65-472d189e3433)) + (pad "1" smd roundrect (at -0.9125 0 180) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 77 "Net-(R9-Pad1)") (pintype "passive") (tstamp d67477ed-2e34-45b8-b4b5-1bd53e874a84)) + (pad "2" smd roundrect (at 0.9125 0 180) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 78 "Net-(U4-VO)") (pintype "passive") (tstamp 1ebc7e05-fda9-433d-be7b-f6f2456018fa)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Button_Switch_THT:SW_PUSH_6mm" (layer "B.Cu") + (tstamp 148f75be-901b-4e52-b70a-9dbbeaf76c9d) + (at 102.31 127.118 180) + (descr "https://www.omron.com/ecb/products/pdf/en-b3f.pdf") + (tags "tact sw push 6mm") + (property "MPN" "FSM8JH") + (property "Need_order" "0") + (property "Sheetfile" "control.kicad_sch") + (property "Sheetname" "Control") + (property "ki_description" "Push button switch, generic, two pins") + (property "ki_keywords" "switch normally-open pushbutton push-button") + (path "/717d30dc-b4e1-489a-b7b3-52f4b7c65672/05f6760c-3f6b-4cb9-a11a-4a6bec66bbde") + (attr through_hole) + (fp_text reference "SW3" (at 3.25 2 unlocked) (layer "B.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1)) (justify mirror)) + (tstamp 67120cd1-19a5-42a8-b3cd-865480b83b49) + ) + (fp_text value "A" (at 3.75 -6.7) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 0bf474a1-82ae-484e-912d-438794166ab5) + ) + (fp_text user "${REFERENCE}" (at 3.25 -2.25) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp f22574bd-54f5-4eab-b25e-42bc708e47f1) + ) + (fp_line (start -0.25 -1.5) (end -0.25 -3) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp e11a427e-de6d-4617-b3cb-92cc518b3810)) + (fp_line (start 1 -5.5) (end 5.5 -5.5) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp af278124-4a01-4a8d-a012-a56aa6c72bb8)) + (fp_line (start 5.5 1) (end 1 1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 04e132fe-e9c6-43d2-b46c-c9419e02a5a8)) + (fp_line (start 6.75 -3) (end 6.75 -1.5) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp d8deac0e-625b-4327-a24a-d5e4497b42bf)) + (fp_line (start -1.5 -6) (end -1.25 -6) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 88c5f39a-8567-407b-9672-47fe67d82fff)) + (fp_line (start -1.5 -5.75) (end -1.5 -6) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 69811bdc-8186-4e71-911e-e02fc74bcc87)) + (fp_line (start -1.5 -5.75) (end -1.5 1.25) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 2f39f20a-7c4c-466a-9304-ff51ad9b9431)) + (fp_line (start -1.5 1.25) (end -1.5 1.5) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 9dc8a31d-a19f-4bfd-a9ad-dd39975505bd)) + (fp_line (start -1.5 1.5) (end -1.25 1.5) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp bbbdbd67-3ba7-449d-b946-9feedaeebe66)) + (fp_line (start -1.25 1.5) (end 7.75 1.5) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 365f972e-d130-4ccf-9a3c-7d1e6dfe86fb)) + (fp_line (start 7.75 -6) (end -1.25 -6) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 697161d0-114e-4b93-826c-4e39169fe0da)) + (fp_line (start 7.75 -6) (end 8 -6) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp cfdd6305-d4a8-4282-b641-fed2e073914b)) + (fp_line (start 7.75 1.5) (end 8 1.5) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 312b0d2e-7770-4718-8c4c-1004d8debf44)) + (fp_line (start 8 -6) (end 8 -5.75) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 5cd94a41-02bd-48df-a180-58e00c39ffd8)) + (fp_line (start 8 1.25) (end 8 -5.75) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 6879fc95-c6d1-4905-9d33-441d268fd0cd)) + (fp_line (start 8 1.5) (end 8 1.25) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 076d35af-86ca-45d8-99b0-313bde72a248)) + (fp_line (start 0.25 -5.25) (end 0.25 0.75) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 46a661ca-0ff9-414b-8ed1-d5f604c9dd68)) + (fp_line (start 0.25 0.75) (end 3.25 0.75) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 1cd52727-4d51-4e56-9ba4-b8fc7d9e36b1)) + (fp_line (start 3.25 0.75) (end 6.25 0.75) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp c0dacbec-48c8-4a67-be0f-f03edf30b6c4)) + (fp_line (start 6.25 -5.25) (end 0.25 -5.25) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp dce7b672-3221-44a8-93ca-ffff23a6b2af)) + (fp_line (start 6.25 0.75) (end 6.25 -5.25) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp b27c47e8-0698-4450-b381-cd3e7c6542a5)) + (fp_circle (center 3.25 -2.25) (end 1.25 -2.5) + (stroke (width 0.1) (type solid)) (fill none) (layer "B.Fab") (tstamp 68e93a15-8665-49bf-8484-cba17f4ca262)) + (pad "1" thru_hole circle (at 0 0 90) (size 2 2) (drill 1.1) (layers "*.Cu" "*.Mask") + (net 2 "GND") (pinfunction "1") (pintype "passive") (tstamp 5c617d3c-b0c3-476d-b6d4-07fa8660ab8b)) + (pad "1" thru_hole circle (at 6.5 0 90) (size 2 2) (drill 1.1) (layers "*.Cu" "*.Mask") + (net 2 "GND") (pinfunction "1") (pintype "passive") (tstamp 42bdee07-d054-4938-b6b9-f220795f6621)) + (pad "2" thru_hole circle (at 0 -4.5 90) (size 2 2) (drill 1.1) (layers "*.Cu" "*.Mask") + (net 82 "/Control/BTN3n") (pinfunction "2") (pintype "passive") (tstamp 55ab31c0-f123-4e35-b8a4-52080b54deb4)) + (pad "2" thru_hole circle (at 6.5 -4.5 90) (size 2 2) (drill 1.1) (layers "*.Cu" "*.Mask") + (net 82 "/Control/BTN3n") (pinfunction "2") (pintype "passive") (tstamp a8e2ae1a-df6a-4b5b-be6e-5ec70fbe5bd2)) + (model "${KICAD6_3DMODEL_DIR}/Button_Switch_THT.3dshapes/SW_PUSH_6mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "B.Cu") + (tstamp 20572a94-f884-4a2b-9dcd-36b531322417) + (at 93.218 124.206 -90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Need_order" "0") + (property "Sheetfile" "control.kicad_sch") + (property "Sheetname" "Control") + (property "ki_description" "Resistor") + (property "ki_keywords" "R res resistor") + (path "/717d30dc-b4e1-489a-b7b3-52f4b7c65672/f2cdc043-0377-478a-80ff-8d7e540c230a") + (attr smd) + (fp_text reference "R29" (at 0 -1.27 -90 unlocked) (layer "B.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1)) (justify mirror)) + (tstamp 8b3f6693-3ae0-439c-aaa6-ec865474511c) + ) + (fp_text value "5.1k" (at 0 -1.43 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 6ee2a04d-f6d2-4924-8cff-a3936d3286fc) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp 13de71b6-80c1-4f2a-9f4c-5e49e245c532) + ) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 7901038e-b2b7-4381-87dd-c910c92812ce)) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp bbe8c8d5-9835-4547-8831-f9a874bd6fcb)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 35941591-f318-404a-a32f-9564e3d68770)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 58e67f43-b726-45c1-9d1e-1078562de7a4)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp ef082e09-ebfe-4547-8a41-ec7963b4537f)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 2661fda5-a331-4b97-bb18-b26e264cd8a9)) + (fp_line (start -0.8 -0.4125) (end -0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 71765297-2774-4e95-abf0-c911463b8358)) + (fp_line (start -0.8 0.4125) (end 0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp fb9b2ae2-20ab-46f2-bf9d-88f2ebfe0e6b)) + (fp_line (start 0.8 -0.4125) (end -0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 7af5b121-0197-4acf-b32f-f76849e9a8b0)) + (fp_line (start 0.8 0.4125) (end 0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 6f912baa-3708-4204-a18e-0afa5551af51)) + (pad "1" smd roundrect (at -0.9125 0 270) (size 0.975 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 81 "/Control/BTN2n") (pintype "passive") (tstamp 70cca186-721b-41a8-9486-b83cd4e4723e)) + (pad "2" smd roundrect (at 0.9125 0 270) (size 0.975 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 5 "+3V3") (pintype "passive") (tstamp c97927fa-78da-4944-b381-14e1a1206f13)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "B.Cu") + (tstamp 222f3d4a-1d73-4df6-a091-7c3f954b96e2) + (at 137.668 154.5825 -90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Need_order" "0") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor") + (property "ki_keywords" "R res resistor") + (path "/027be28d-463c-47dc-8c32-bfed680029d4") + (attr smd) + (fp_text reference "R20" (at 0 1.43 90 unlocked) (layer "B.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1)) (justify mirror)) + (tstamp 453b35f6-6711-4a7a-97da-3d5df04db9b8) + ) + (fp_text value "12k" (at 0 -1.43 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 05e51aa3-b9d5-4566-ba77-c29955e6837d) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp 7fcd760c-4007-4073-a069-55db4899ba1d) + ) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp f0d0fd25-98a5-4f1f-87e0-c998e441284a)) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp c9fbc856-4e8c-4574-88a8-b322bcc05e8d)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp ec0865d4-3909-4acb-9aee-cae0583f6ab2)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 301728ac-63f2-4d78-9b1a-7fab70f0d1e9)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp f49375ed-947c-48b4-a604-47baca0615f9)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 80770e99-984b-4650-a52d-a893fae67160)) + (fp_line (start -0.8 -0.4125) (end -0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 0eecb372-b78a-4c00-b7c9-97663ee69000)) + (fp_line (start -0.8 0.4125) (end 0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp ca8271c2-bdc7-4325-b09e-d9087e7a8b5e)) + (fp_line (start 0.8 -0.4125) (end -0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 175a4764-06f0-4365-af49-f83361af9084)) + (fp_line (start 0.8 0.4125) (end 0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp c289de80-9907-4529-940c-501a3e99bb38)) + (pad "1" smd roundrect (at -0.9125 0 270) (size 0.975 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 1 "+BATT") (pintype "passive") (tstamp ef373b87-9a40-4435-bff4-03e07ed6fcde)) + (pad "2" smd roundrect (at 0.9125 0 270) (size 0.975 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 65 "Net-(Q4B-G)") (pintype "passive") (tstamp 7ecbaf65-7f5e-4b13-bcf5-461283d4ef96)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "B.Cu") + (tstamp 22a2542f-431f-4f26-8afa-e8222c6a641f) + (at 101.6 150.114 180) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Need_order" "0") + (property "Sheetfile" "control.kicad_sch") + (property "Sheetname" "Control") + (property "ki_description" "Resistor") + (property "ki_keywords" "R res resistor") + (path "/717d30dc-b4e1-489a-b7b3-52f4b7c65672/4ba3cb90-1370-484c-9f79-172cd1648d21") + (attr smd) + (fp_text reference "R39" (at 0 1.43 unlocked) (layer "B.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1)) (justify mirror)) + (tstamp e266f444-4ccb-4f8f-a002-27ecdfe57cd9) + ) + (fp_text value "47k" (at 0 -1.43) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp f46ecf7d-77a6-4877-a603-2bf35e269fe0) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp 7ef5cf91-6ee4-4a54-abf8-77364394ba65) + ) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 58065c1c-0056-4510-81d9-3600ca304a40)) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 9796d270-c163-48ce-b8ce-c7f6915acc10)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 16f048f9-ff9f-4f24-ac9c-261f93c9685d)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 2aa28dd0-b891-45d9-b79d-b3e84cd3fc42)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 528790a7-c839-4208-88be-4adf018749bc)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 5340bf59-2ac4-49cd-813e-e9e620dc58d9)) + (fp_line (start -0.8 -0.4125) (end -0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 26965278-be0d-4abb-ae9b-604e0ff209a6)) + (fp_line (start -0.8 0.4125) (end 0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 6f26420f-c27c-46fc-8b18-519b5da34480)) + (fp_line (start 0.8 -0.4125) (end -0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 6c2d6d1b-f681-4aa4-9623-50c9f2f0e86f)) + (fp_line (start 0.8 0.4125) (end 0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 069c0130-47c2-49ea-9b0f-c3ed48fa7a5e)) + (pad "1" smd roundrect (at -0.9125 0 180) (size 0.975 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 51 "/Control/SD_SSn") (pintype "passive") (tstamp 5bfc2045-a278-407b-94e0-2d0faee54c99)) + (pad "2" smd roundrect (at 0.9125 0 180) (size 0.975 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 5 "+3V3") (pintype "passive") (tstamp e45ac7ce-c9a0-4354-9068-5a65d874d4fc)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "B.Cu") + (tstamp 2887ae97-a8f8-4fba-8bc8-d1dee0195cec) + (at 93.218 132.588 -90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Need_order" "0") + (property "Sheetfile" "control.kicad_sch") + (property "Sheetname" "Control") + (property "ki_description" "Resistor") + (property "ki_keywords" "R res resistor") + (path "/717d30dc-b4e1-489a-b7b3-52f4b7c65672/686806c9-50c8-4627-9408-e5b435c78ee0") + (attr smd) + (fp_text reference "R30" (at 0 1.43 90 unlocked) (layer "B.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1)) (justify mirror)) + (tstamp a47c3bd0-ef6c-4cc6-85e5-3903ec8d19cd) + ) + (fp_text value "5.1k" (at 0 -1.43 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 31add754-2ba3-4fe9-9fce-1396e88741dd) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp 33a99744-29a4-4ec9-8422-0bf1afb5dbde) + ) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 6e48fd29-637b-4228-ad54-d159c346172a)) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp a093ee8b-f3e4-4df6-a0dc-b717b227e3ec)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 8d7d4fbb-041c-4d7f-ab25-b2981b7066ba)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 90bf6057-d150-4c96-8fc0-e8097583445a)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp ce096496-b9ff-4b77-a48b-bd46303845cf)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp f3ba4b69-8e9f-4634-8f9e-a27ff0f56df6)) + (fp_line (start -0.8 -0.4125) (end -0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 29c60af7-f888-4679-afd0-985c71f46545)) + (fp_line (start -0.8 0.4125) (end 0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 89986f18-8acf-43d0-84b8-0b5672dc1940)) + (fp_line (start 0.8 -0.4125) (end -0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp d8335e6c-c91c-4352-be02-b45ec2ab2757)) + (fp_line (start 0.8 0.4125) (end 0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp f1ffda06-b296-475f-ae91-0902103aefb5)) + (pad "1" smd roundrect (at -0.9125 0 270) (size 0.975 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 82 "/Control/BTN3n") (pintype "passive") (tstamp e24e35b4-e242-458c-8fb2-a24d2d416a6c)) + (pad "2" smd roundrect (at 0.9125 0 270) (size 0.975 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 5 "+3V3") (pintype "passive") (tstamp 4dd11962-9a7b-4b31-975c-5576ad948a83)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "B.Cu") + (tstamp 28c12a73-ae3a-417b-bcb5-03ead0ef60f9) + (at 144.018 110.236 -90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Need_order" "0") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor") + (property "ki_keywords" "R res resistor") + (path "/2b0d46c1-336d-43c1-a325-57e6fd8d8728") + (attr smd) + (fp_text reference "R2" (at 0 1.43 90 unlocked) (layer "B.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1)) (justify mirror)) + (tstamp d852cc8d-ff14-417c-97a3-afce6a421373) + ) + (fp_text value "1.2k" (at 0 -1.43 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp a97695fd-d73e-4eb0-adac-e71ad0e84174) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp 4e4b823e-acda-42c5-b6c1-c835e3d33c4c) + ) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 03444fe6-9454-436d-b0df-bfd801825646)) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 86c10dad-5bec-44eb-8e2b-73cf457fd884)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 70f8c866-0813-4be9-9cfe-e3a2eb62ba39)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp cd2d9c6e-099d-4ff2-87da-b0c23b96f030)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 9c811633-e092-49d3-a008-334d610b59f7)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 678aeabb-db4e-4bb0-8c28-921a2723de41)) + (fp_line (start -0.8 -0.4125) (end -0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 11d37728-09f1-4823-88df-2d21d33efa6e)) + (fp_line (start -0.8 0.4125) (end 0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 5e1d0173-023d-4a4e-a159-2786fd3b4625)) + (fp_line (start 0.8 -0.4125) (end -0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 7e2faead-29c5-452d-b703-b7024819c81d)) + (fp_line (start 0.8 0.4125) (end 0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp b79b2f45-248a-4247-bdf6-320895db37d4)) + (pad "1" smd roundrect (at -0.9125 0 270) (size 0.975 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 9fead772-9f7d-47a2-a364-129c26f84cfd)) + (pad "2" smd roundrect (at 0.9125 0 270) (size 0.975 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 67 "/Control/BAT_MEAS") (pintype "passive") (tstamp 5c1ddcc0-75e8-4815-85a4-a631206e7d37)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "B.Cu") + (tstamp 361457ad-ac09-4991-89f2-57a9ee685953) + (at 93.218 115.57 -90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Need_order" "0") + (property "Sheetfile" "control.kicad_sch") + (property "Sheetname" "Control") + (property "ki_description" "Resistor") + (property "ki_keywords" "R res resistor") + (path "/717d30dc-b4e1-489a-b7b3-52f4b7c65672/51607e8b-d10f-4c1c-a43b-0b2748b1a813") + (attr smd) + (fp_text reference "R28" (at 0 1.43 90 unlocked) (layer "B.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1)) (justify mirror)) + (tstamp 6f234c03-1cfb-47a1-b446-090277a8f0f1) + ) + (fp_text value "5.1k" (at 0 -1.43 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 92ca7aaf-cbf0-4906-b6f0-3ac0b145f21f) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp 272b0769-4e9c-47e2-b08d-77c2ae9c3ddf) + ) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 4d44467d-d22a-4a79-95ba-a7450d6bf0b6)) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 0a25a79b-b5af-4b70-8bfa-213822ec0d53)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 5e538ebf-507c-4993-866d-c9b9052b6e0b)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 7f003f4f-db8f-4725-add3-036c4083c2be)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp df4faec4-d57e-4647-b08d-0463d780992f)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 69b1ff36-d67b-440d-b54f-37612af963c3)) + (fp_line (start -0.8 -0.4125) (end -0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 26e41913-fb1b-4759-b8a8-f37f8f29912c)) + (fp_line (start -0.8 0.4125) (end 0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp d16e5c6b-72d3-4648-9a30-0f404c4240b0)) + (fp_line (start 0.8 -0.4125) (end -0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 5977c860-bff9-47ef-b5ee-29a4a88a4a63)) + (fp_line (start 0.8 0.4125) (end 0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp f90030cc-427a-4270-9796-51c869e68f5e)) + (pad "1" smd roundrect (at -0.9125 0 270) (size 0.975 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 80 "/Control/BTN1n") (pintype "passive") (tstamp 3cad8b59-d5de-46ce-be41-efb1d75e4660)) + (pad "2" smd roundrect (at 0.9125 0 270) (size 0.975 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 5 "+3V3") (pintype "passive") (tstamp da413df5-550a-4d9f-8753-308677621d3a)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "B.Cu") + (tstamp 4cfa8e9a-3434-4681-b642-032514f03d70) + (at 135.255 154.602 -90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Need_order" "0") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor") + (property "ki_keywords" "R res resistor") + (path "/e821c9d1-cd41-44aa-8931-f06e11218158") + (attr smd) + (fp_text reference "R21" (at 0 1.43 90 unlocked) (layer "B.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1)) (justify mirror)) + (tstamp dcc1bf12-d66c-444e-9c82-7f8363702923) + ) + (fp_text value "10k" (at 0 -1.43 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp cb8c19cc-010f-48d5-a22d-bd99ca522e7e) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp fa164c0f-0d7c-4469-8f69-afa184297236) + ) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp f13094c9-714e-4376-acc8-3f3977b558fc)) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp f2ec20c9-6205-4c64-9ba0-138fd0500f2c)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 2182f50f-cfaa-42af-8e03-8e08c2e6dcc0)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 811fce66-3568-4d7b-abc7-01af605e96ba)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 3869f630-480c-4563-8a74-c04578963d8d)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 73e42543-17de-40a1-8fa2-d093cbc36007)) + (fp_line (start -0.8 -0.4125) (end -0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 1f0e30c0-df00-4e68-a78c-405ee2a5bf6a)) + (fp_line (start -0.8 0.4125) (end 0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 22758022-b400-4b12-8523-3b58c634a1b0)) + (fp_line (start 0.8 -0.4125) (end -0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp e3f2916d-9fda-444e-8ae2-92bb6503f641)) + (fp_line (start 0.8 0.4125) (end 0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp f00df38e-c3d0-4db4-aedf-050c08920888)) + (pad "1" smd roundrect (at -0.9125 0 270) (size 0.975 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp d2b72e38-470a-48db-94ac-28f5fd2e6e6b)) + (pad "2" smd roundrect (at 0.9125 0 270) (size 0.975 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 42 "/EN_RX") (pintype "passive") (tstamp 4a23600a-a5c6-4776-8ac2-c7250f036b84)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "B.Cu") + (tstamp 4f7bf761-c111-4149-b834-af5de4b14d70) + (at 101.6 145.288 180) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Need_order" "0") + (property "Sheetfile" "control.kicad_sch") + (property "Sheetname" "Control") + (property "ki_description" "Resistor") + (property "ki_keywords" "R res resistor") + (path "/717d30dc-b4e1-489a-b7b3-52f4b7c65672/7d39a1e4-1c80-4a7d-894e-1a95966d303f") + (attr smd) + (fp_text reference "R38" (at 0 1.43 unlocked) (layer "B.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1)) (justify mirror)) + (tstamp b7ceb628-bbc0-4384-8292-458580de0481) + ) + (fp_text value "47k" (at 0 -1.43) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 9df0440e-a4a6-4793-b919-4d2237d56e99) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp 05e53a2b-96ee-4f43-b89e-2bc8f320dcfa) + ) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp afc8bb47-0b48-45c5-8296-3db9f0c0425a)) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp a9cf630d-2c4b-47d2-9218-5ac837616a11)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp bc0a9bca-d7cd-412a-9657-4d94a5337ca6)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 306e2ca4-d880-4230-b3b2-b60379d997ba)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 1c9070fa-9202-481d-92c9-4998436b3399)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 661eb708-b645-479e-b3d2-621e0d647035)) + (fp_line (start -0.8 -0.4125) (end -0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp e1c3de2e-9cd7-463f-82ed-7fc99ca99d81)) + (fp_line (start -0.8 0.4125) (end 0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 0fd4da93-b5df-4119-9c0e-8ed950cf05d9)) + (fp_line (start 0.8 -0.4125) (end -0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 8524023b-5b7c-4b26-9fc8-d53b584d05af)) + (fp_line (start 0.8 0.4125) (end 0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp c97152f6-4367-4b79-94b7-ccd156355399)) + (pad "1" smd roundrect (at -0.9125 0 180) (size 0.975 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 55 "Net-(J11-DAT1)") (pintype "passive") (tstamp 22c45e45-4c3b-46e1-88f0-ee28a976e5dc)) + (pad "2" smd roundrect (at 0.9125 0 180) (size 0.975 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 5 "+3V3") (pintype "passive") (tstamp 632514f5-f2c8-473a-aa63-38ab26c44551)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Button_Switch_THT:SW_PUSH_6mm" (layer "B.Cu") + (tstamp 55f9bbd8-0e66-4758-86c6-a4cade6386bd) + (at 102.31 110.018 180) + (descr "https://www.omron.com/ecb/products/pdf/en-b3f.pdf") + (tags "tact sw push 6mm") + (property "MPN" "FSM8JH") + (property "Need_order" "0") + (property "Sheetfile" "control.kicad_sch") + (property "Sheetname" "Control") + (property "ki_description" "Push button switch, generic, two pins") + (property "ki_keywords" "switch normally-open pushbutton push-button") + (path "/717d30dc-b4e1-489a-b7b3-52f4b7c65672/c497ffb1-f931-44fe-9af9-706fe7d5201b") + (attr through_hole) + (fp_text reference "SW1" (at 3.25 2 unlocked) (layer "B.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1)) (justify mirror)) + (tstamp 4db33c8c-c17a-4f01-b951-c6b0a5058a76) + ) + (fp_text value "A" (at 3.75 -6.7) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 2c5133f0-6f54-4a6d-902f-154a318a00b4) + ) + (fp_text user "${REFERENCE}" (at 3.25 -2.25) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 4b197133-4ec1-4c90-a41d-6be373dea4be) + ) + (fp_line (start -0.25 -1.5) (end -0.25 -3) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 57c0fdc3-9fee-4bbc-8999-b2a1e3874d40)) + (fp_line (start 1 -5.5) (end 5.5 -5.5) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp dd3c9675-df3d-48c4-b953-ebbb6cb095a1)) + (fp_line (start 5.5 1) (end 1 1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 332c3bbe-8a9d-4041-9ba6-8e9b91b0270b)) + (fp_line (start 6.75 -3) (end 6.75 -1.5) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 2aa53997-5929-47b4-9340-399a50e30336)) + (fp_line (start -1.5 -6) (end -1.25 -6) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp a3c720b6-1cf9-4232-afe3-1b28d60d8a92)) + (fp_line (start -1.5 -5.75) (end -1.5 -6) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp c30293a3-5cac-4528-92e4-b50303b5ac5b)) + (fp_line (start -1.5 -5.75) (end -1.5 1.25) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 251b095e-a03d-4a89-acce-43a44130154c)) + (fp_line (start -1.5 1.25) (end -1.5 1.5) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp eb600ee8-2c1d-4898-8ab3-5f75feee13c1)) + (fp_line (start -1.5 1.5) (end -1.25 1.5) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 804ce57f-059c-457a-ab20-831f33cd8a00)) + (fp_line (start -1.25 1.5) (end 7.75 1.5) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp b7c3e965-3299-446b-90ad-9d42934d235f)) + (fp_line (start 7.75 -6) (end -1.25 -6) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 52d5e628-cd0f-4e7d-9458-a05a9434c2fd)) + (fp_line (start 7.75 -6) (end 8 -6) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp e0fd19c2-161b-4123-9a6f-857e3031afae)) + (fp_line (start 7.75 1.5) (end 8 1.5) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 1afa23cd-8ecb-4261-9c10-50bea249d981)) + (fp_line (start 8 -6) (end 8 -5.75) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 45b22529-b8d7-4eb1-a747-ae19a8a03281)) + (fp_line (start 8 1.25) (end 8 -5.75) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 4bbfecc0-174e-4409-ad8b-9dad47bf647f)) + (fp_line (start 8 1.5) (end 8 1.25) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp d2c64790-2c61-4c51-b117-8654699425bc)) + (fp_line (start 0.25 -5.25) (end 0.25 0.75) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 6578efea-bf36-4995-bfa7-19e4f71a63d4)) + (fp_line (start 0.25 0.75) (end 3.25 0.75) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp e0bd3be6-a17a-44e4-b4b4-25a2701b74b4)) + (fp_line (start 3.25 0.75) (end 6.25 0.75) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 446ca3a2-f355-490c-9de8-3d3c193ae32e)) + (fp_line (start 6.25 -5.25) (end 0.25 -5.25) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp ea575fdb-9c17-4956-80c9-0ed0c441f4e0)) + (fp_line (start 6.25 0.75) (end 6.25 -5.25) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp ff2801e7-cedc-43b5-8c06-940c624b6205)) + (fp_circle (center 3.25 -2.25) (end 1.25 -2.5) + (stroke (width 0.1) (type solid)) (fill none) (layer "B.Fab") (tstamp 0e860e50-2388-4506-bb17-65ac3ef96b9c)) + (pad "1" thru_hole circle (at 0 0 90) (size 2 2) (drill 1.1) (layers "*.Cu" "*.Mask") + (net 2 "GND") (pinfunction "1") (pintype "passive") (tstamp ebc77ab1-e9e2-4f63-9c7b-34c9a176203d)) + (pad "1" thru_hole circle (at 6.5 0 90) (size 2 2) (drill 1.1) (layers "*.Cu" "*.Mask") + (net 2 "GND") (pinfunction "1") (pintype "passive") (tstamp 388fad01-3a85-4738-9c9a-7a85e3d8c6b9)) + (pad "2" thru_hole circle (at 0 -4.5 90) (size 2 2) (drill 1.1) (layers "*.Cu" "*.Mask") + (net 80 "/Control/BTN1n") (pinfunction "2") (pintype "passive") (tstamp 37f2d135-7fd1-4508-a822-81ab6412fba4)) + (pad "2" thru_hole circle (at 6.5 -4.5 90) (size 2 2) (drill 1.1) (layers "*.Cu" "*.Mask") + (net 80 "/Control/BTN1n") (pinfunction "2") (pintype "passive") (tstamp c1f33e6c-c961-4767-a643-c63b2a554e57)) + (model "${KICAD6_3DMODEL_DIR}/Button_Switch_THT.3dshapes/SW_PUSH_6mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder" (layer "B.Cu") + (tstamp 5a09a6a7-b79c-45df-a5d0-f3229c408033) + (at 104.648 148.4365 90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "MPN" "GRM188R71H104KA93D") + (property "Need_order" "0") + (property "Sheetfile" "control.kicad_sch") + (property "Sheetname" "Control") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/717d30dc-b4e1-489a-b7b3-52f4b7c65672/a5781331-496a-4e0b-9871-0664cc47b625") + (attr smd) + (fp_text reference "C36" (at 0 1.43 90 unlocked) (layer "B.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1)) (justify mirror)) + (tstamp c9098ca9-c717-4381-a163-50bcb593dfd9) + ) + (fp_text value "0.1uF" (at 0 -1.43 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp f631542a-15ca-4bb9-94ab-4ba21f2654de) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp 16c09284-974f-4681-a6b2-f14b8c2fde1e) + ) + (fp_line (start -0.146267 -0.51) (end 0.146267 -0.51) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp b27757ee-0baf-403b-bc7d-b73bd1ac4fb1)) + (fp_line (start -0.146267 0.51) (end 0.146267 0.51) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp ae2ed496-0644-4087-8e86-439773a98f94)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp c072e272-6dcc-4df3-b933-43ad19991052)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 9bbf4e20-a57a-4bd5-bd7f-d54ff2cbddcd)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 931cf0db-b5fe-4c4c-84bd-3535490dbcb0)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 3d1cd2de-d346-4a12-ae0b-96e38655404d)) + (fp_line (start -0.8 -0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp d53aa4da-9248-412a-9351-03c71fcdb9a2)) + (fp_line (start -0.8 0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 00098c73-1e98-45ad-808b-1148d91deadd)) + (fp_line (start 0.8 -0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 02c7b5c4-ace9-4f5d-a3e1-76077ac181cd)) + (fp_line (start 0.8 0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp cef2a3c5-a2e6-4f0f-873a-96851fd63531)) + (pad "1" smd roundrect (at -0.8625 0 90) (size 1.075 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 5 "+3V3") (pintype "passive") (tstamp 6288a121-4a3f-4d62-a661-15c3b1da862f)) + (pad "2" smd roundrect (at 0.8625 0 90) (size 1.075 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp f6c1ced1-7336-4764-b5f5-115d49d8cd14)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Connector_Coaxial:SMA_Amphenol_132291_Vertical" (layer "B.Cu") + (tstamp 5fec4b72-f9d6-41e8-bb24-2af85fe61e9c) + (at 127.762 73.914 180) + (descr "https://www.amphenolrf.com/downloads/dl/file/id/3222/product/2918/132291_customer_drawing.pdf") + (tags "SMA THT Female Jack Vertical Bulkhead") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "coaxial connector (BNC, SMA, SMB, SMC, Cinch/RCA, LEMO, ...)") + (property "ki_keywords" "BNC SMA SMB SMC LEMO coaxial connector CINCH RCA") + (path "/f523ef89-9142-480d-94c5-3249023e61bf") + (attr through_hole) + (fp_text reference "J4" (at 0 4.75 unlocked) (layer "B.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1)) (justify mirror)) + (tstamp 456b01ff-7319-4e2b-ae62-10e7b428e1d2) + ) + (fp_text value "GNSS" (at 0 -5) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 545af072-7752-4d0d-8151-f7e27fa7dc4f) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp cc11919c-c7fd-4bad-bbc6-2aafce878062) + ) + (fp_line (start -3.61 1.66) (end -3.61 -1.66) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 18093e4d-ec8d-487e-ae18-0050e88f58c0)) + (fp_line (start -1.66 -3.61) (end 1.66 -3.61) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp e90805fc-6c4c-4f70-82af-baef9c5871c2)) + (fp_line (start -1.66 3.61) (end 1.66 3.61) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 402ab27b-a00e-424a-85c5-4f6e920205d0)) + (fp_line (start 3.61 1.66) (end 3.61 -1.66) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp cd80411a-62ae-4e7e-8f1b-5303dea31ef3)) + (fp_line (start -4.17 4.17) (end -4.17 -4.17) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 39e15fcf-ca21-4f65-a546-fee6a3cc7eca)) + (fp_line (start -4.17 4.17) (end 4.17 4.17) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp eaa486e2-a073-4d27-a474-e854dbbab5c2)) + (fp_line (start 4.17 -4.17) (end -4.17 -4.17) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 895aab9e-c8d0-446e-9b28-885a3e779e88)) + (fp_line (start 4.17 -4.17) (end 4.17 4.17) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 0e3ab89f-2ed6-4245-bab8-002409f847ee)) + (fp_line (start -3.5 -3.5) (end 3.5 -3.5) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 702ed754-e6ee-4dd6-9ba8-176ccd15aec2)) + (fp_line (start -3.5 3.5) (end -3.5 -3.5) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp fcabf67c-24bd-4b01-abac-dae4c9477af7)) + (fp_line (start -3.5 3.5) (end 3.5 3.5) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp e984988c-5ad4-4f07-9320-624f83cb0478)) + (fp_line (start 3.5 3.5) (end 3.5 -3.5) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 64a5cf6b-efd8-4069-a400-5fecc5a4be25)) + (fp_circle (center 0 0) (end 3.175 0) + (stroke (width 0.1) (type solid)) (fill none) (layer "B.Fab") (tstamp 5e3ed979-5662-44a6-afcf-64a41cca0893)) + (pad "1" thru_hole circle (at 0 0 180) (size 2.05 2.05) (drill 1.5) (layers "*.Cu" "*.Mask") + (net 58 "Net-(J4-In)") (pinfunction "In") (pintype "passive") (tstamp 5897e633-cfc3-429e-ab5f-654318275154)) + (pad "2" thru_hole circle (at -2.54 -2.54 180) (size 2.25 2.25) (drill 1.7) (layers "*.Cu" "*.Mask") + (net 2 "GND") (pinfunction "Ext") (pintype "passive") (tstamp fd33143d-bba9-4a03-9891-c0f22a9c03ae)) + (pad "2" thru_hole circle (at -2.54 2.54 180) (size 2.25 2.25) (drill 1.7) (layers "*.Cu" "*.Mask") + (net 2 "GND") (pinfunction "Ext") (pintype "passive") (tstamp 06fa3d01-57da-498e-9d90-fb71176ea85f)) + (pad "2" thru_hole circle (at 2.54 -2.54 180) (size 2.25 2.25) (drill 1.7) (layers "*.Cu" "*.Mask") + (net 2 "GND") (pinfunction "Ext") (pintype "passive") (tstamp a9b0e7ad-0468-4fa8-aa4b-bd8b18c3b5ae)) + (pad "2" thru_hole circle (at 2.54 2.54 180) (size 2.25 2.25) (drill 1.7) (layers "*.Cu" "*.Mask") + (net 2 "GND") (pinfunction "Ext") (pintype "passive") (tstamp 6c48891e-9c7a-4bde-a4e7-543433bc1cf7)) + (model "${KICAD6_3DMODEL_DIR}/Connector_Coaxial.3dshapes/SMA_Amphenol_132291_Vertical.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "mpb:Series_221AM_RotaryDIPSwitch" (layer "B.Cu") + (tstamp 61dabc0f-4305-422d-a48c-72936e942a2c) + (at 99.06 96.094 -90) + (descr "4-bit rotary coded switch") + (tags "rotary switch bcd") + (property "MPN" "221AMC10R ") + (property "Need_order" "1") + (property "Sheetfile" "control.kicad_sch") + (property "Sheetname" "Control") + (property "ki_description" "Rotary switch, 4-bit encoding") + (property "ki_keywords" "rotary hex") + (path "/717d30dc-b4e1-489a-b7b3-52f4b7c65672/48be4c2e-6e08-4e2c-b59f-5dc590e81fc4") + (attr smd) + (fp_text reference "SW4" (at 0 4.9 90 unlocked) (layer "B.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1)) (justify mirror)) + (tstamp 63edd71a-31fb-48d9-b525-49cb80ece748) + ) + (fp_text value "STATUS" (at 0 -4.9 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 279c5136-59f9-460a-9300-50fdddf3ae14) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 6c4485cc-ae17-43f1-9815-eba55002f617) + ) + (fp_line (start -7 3.2) (end -5.1 3.2) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 447f2bd8-a9a8-4e14-a08e-44c5bff1b235)) + (fp_line (start -5.1 -3.77) (end 5 -3.77) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 8722464a-7da2-4789-93f7-096729890d65)) + (fp_line (start -5.1 -3.24) (end -5.1 -3.77) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp ab9b0bec-5802-4651-983a-704051905cd4)) + (fp_line (start -5.1 -0.7) (end -5.1 -1.84) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 8001506f-0b2d-4a27-90a1-b3b6d5888ecc)) + (fp_line (start -5.1 1.84) (end -5.1 0.7) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp f1c7beca-e640-4dc8-88ac-6dd6d6313520)) + (fp_line (start -5.1 3.2) (end -5.1 3.77) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 8f897a2e-1bd5-4c52-878b-85ebb41c8154)) + (fp_line (start -5.1 3.77) (end 5 3.77) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 856fb538-5cef-4093-9f83-e0195e63e866)) + (fp_line (start -1.24 0.66) (end 0.04 1.94) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp f3db8761-c939-4638-896a-c05723244f8e)) + (fp_line (start 0.04 1.94) (end 0.04 -1.9) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 17573a5b-1826-4019-99bc-d9a91b1d81d8)) + (fp_line (start 0.04 1.94) (end 1.32 0.66) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 66f595cb-31af-46b4-9092-18398f1ed187)) + (fp_line (start 5 -3.77) (end 5 -3.24) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp e50940e5-6540-4071-9b33-92ea727775b7)) + (fp_line (start 5 -0.7) (end 5 -1.84) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 2ab337ed-44f8-4f1c-85f0-45ee0fc8ebc6)) + (fp_line (start 5 1.84) (end 5 0.7) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 58d67f0f-bec6-4b89-8cb3-5bbb1e041e45)) + (fp_line (start 5 3.77) (end 5 3.24) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 305ab911-7399-4107-968c-d85b14fcff92)) + (fp_circle (center 0.04 0.02) (end 2.6 0.02) + (stroke (width 0.12) (type solid)) (fill none) (layer "B.SilkS") (tstamp d812cb62-9401-4d59-8f34-f23159d4d90b)) + (fp_line (start -8.68 -3.9) (end 7.5 -3.9) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 7768c170-44ac-4073-8c88-39be04ac7ba5)) + (fp_line (start -8.68 3.9) (end -8.68 -3.9) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp cf4628c7-a2a3-4538-b14c-763bb3ac444f)) + (fp_line (start 7.5 -3.9) (end 7.5 3.9) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 52121643-6b3a-4a42-9e67-b09bb30f9399)) + (fp_line (start 7.5 3.9) (end -8.68 3.9) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 9b2e64d7-246e-44c3-b84a-811728136613)) + (fp_line (start -4.8 -3.65) (end -4.8 2.6) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 814315fc-d3bf-4d33-81ad-7e0ee82d9152)) + (fp_line (start -4.8 2.6) (end -3.8 3.6) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 2f4e90cb-a8ff-4a7d-ac1f-542ffa474201)) + (fp_line (start 4.825 -3.65) (end -4.8 -3.65) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 540a0e00-ad31-4db5-b521-21cd824805a5)) + (fp_line (start 4.825 3.6) (end -3.8 3.6) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp d680a769-5e54-4d8b-aa25-764c85b60594)) + (fp_line (start 4.825 3.6) (end 4.825 -3.65) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 0b212f76-47d9-4ecc-b5c1-b5e1eb07a2af)) + (pad "1" smd rect (at -6.2 2.54 270) (size 2.5 1) (layers "B.Cu" "B.Paste" "B.Mask") + (net 2 "GND") (pinfunction "CM") (pintype "passive") (tstamp fee71020-c794-41dd-95ec-aa21f3bc5837)) + (pad "2" smd rect (at 6.2 -2.54 270) (size 2.5 1) (layers "B.Cu" "B.Paste" "B.Mask") + (net 107 "Net-(SW4-D0)") (pinfunction "D0") (pintype "passive") (tstamp ef42eb78-cdd8-409f-93bf-aa2f2133b8f3)) + (pad "4" smd rect (at -6.2 -2.54 270) (size 2.5 1) (layers "B.Cu" "B.Paste" "B.Mask") + (net 109 "Net-(SW4-D2)") (pinfunction "D2") (pintype "passive") (tstamp dac55798-3336-49bc-9dea-481619d61ab3)) + (pad "8" smd rect (at 6.2 2.54 270) (size 2.5 1) (layers "B.Cu" "B.Paste" "B.Mask") (tstamp 893d6b77-c948-4de7-b898-391852972b23)) + (pad "C" smd rect (at -6.2 0 270) (size 2.5 1) (layers "B.Cu" "B.Paste" "B.Mask") (tstamp 12c20a00-ea16-459f-a331-2996bc7b6663)) + (pad "C" smd rect (at 6.2 0 270) (size 2.5 1) (layers "B.Cu" "B.Paste" "B.Mask") (tstamp 234f2536-298c-4e34-a8e0-0d851ab621fc)) + (model "${KICAD6_3DMODEL_DIR}/Button_Switch_SMD.3dshapes/Nidec_Copal_SH-7010A.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "B.Cu") + (tstamp 643032b4-7900-4b53-93ce-e79844218c6b) + (at 112.268 149.606 180) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Need_order" "0") + (property "Sheetfile" "control.kicad_sch") + (property "Sheetname" "Control") + (property "ki_description" "Resistor") + (property "ki_keywords" "R res resistor") + (path "/717d30dc-b4e1-489a-b7b3-52f4b7c65672/a4a06aac-598d-4973-94db-9be1e05b3ee5") + (attr smd) + (fp_text reference "R44" (at 0 1.43 unlocked) (layer "B.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1)) (justify mirror)) + (tstamp 3e6e4d3f-9960-41a2-aeb4-fa1dc185cb6d) + ) + (fp_text value "100k" (at 0 -1.43) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 238ec69c-97f6-4e54-8340-61b275638de2) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp 5885633c-a7ed-4829-896b-d5e6a495a132) + ) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 7f2374a9-b698-42cc-a1cf-3cfca63aedc9)) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 4d2bd495-2ecd-4f9b-889f-02b7870bf7d8)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp dcbfc4ea-db2b-4b9f-a457-ba395742ea11)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 4abe29fb-9dd7-48e0-a8ec-21dbec2f2e67)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 7bf9d3af-d148-499d-8cb8-6394391a17f1)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 82a68c0b-1060-4139-8d8d-3f78e1b758bf)) + (fp_line (start -0.8 -0.4125) (end -0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp aa7fbd1c-6376-4640-ba66-667be34a6ec7)) + (fp_line (start -0.8 0.4125) (end 0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 8fcba327-4716-4251-a743-7b51140d7ec8)) + (fp_line (start 0.8 -0.4125) (end -0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 5436b468-413f-4511-9419-c52c5142f608)) + (fp_line (start 0.8 0.4125) (end 0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp acd09b7d-5010-49d6-a26e-53e3a0d84642)) + (pad "1" smd roundrect (at -0.9125 0 180) (size 0.975 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 3ef93823-4fbb-49a5-a1e8-26a34e74c2da)) + (pad "2" smd roundrect (at 0.9125 0 180) (size 0.975 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 88 "/Control/BOOT1") (pintype "passive") (tstamp 2393a037-be5e-4e44-995b-0009a2076e44)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "LED_THT:LED_D5.0mm" (layer "B.Cu") + (tstamp 65d10d9e-aa41-488a-bf0c-e9167da89c0a) + (at 96.645 138.176 180) + (descr "LED, diameter 5.0mm, 2 pins, http://cdn-reichelt.de/documents/datenblatt/A500/LL-504BC2E-009.pdf") + (tags "LED diameter 5.0mm 2 pins") + (property "Need_order" "0") + (property "Sheetfile" "control.kicad_sch") + (property "Sheetname" "Control") + (property "ki_description" "Light emitting diode") + (property "ki_keywords" "LED diode") + (path "/717d30dc-b4e1-489a-b7b3-52f4b7c65672/86c8694c-d507-43f4-9047-0492dbdd9c18") + (attr through_hole) + (fp_text reference "D8" (at 1.27 3.96 unlocked) (layer "B.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1)) (justify mirror)) + (tstamp e1f29e0b-0af9-4cc6-8232-6d667d711573) + ) + (fp_text value "STATUS" (at 1.27 -3.96) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 2625ab96-a95c-4e60-b102-5f61c2fc995c) + ) + (fp_text user "${REFERENCE}" (at 1.25 0) (layer "B.Fab") + (effects (font (size 0.8 0.8) (thickness 0.2)) (justify mirror)) + (tstamp 1fa9a64d-2e9b-4b7b-a25d-18f1f90d1674) + ) + (fp_line (start -1.29 1.545) (end -1.29 -1.545) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 6eca70e4-614b-487e-b7a7-00d4efe9df47)) + (fp_arc (start -1.29 -1.54483) (mid 2.072002 -2.880433) (end 4.26 0.000462) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 66d41bdb-5ac6-4e23-a6a7-3f753646731d)) + (fp_arc (start 4.26 -0.000462) (mid 2.072002 2.880433) (end -1.29 1.54483) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp b6ac18f0-2a92-4b56-a10c-0c9799383bdd)) + (fp_circle (center 1.27 0) (end 3.77 0) + (stroke (width 0.12) (type solid)) (fill none) (layer "B.SilkS") (tstamp dd3354d3-bc0d-42be-a146-50680b83191d)) + (fp_line (start -1.95 -3.25) (end 4.5 -3.25) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 85b08d16-5e7a-44d2-a360-81cb0636cd04)) + (fp_line (start -1.95 3.25) (end -1.95 -3.25) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 8e399713-9a11-4bf7-a67d-e01d2f7a21bf)) + (fp_line (start 4.5 -3.25) (end 4.5 3.25) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp d19b82da-d5e3-4583-b72d-4cdeea8fb8d2)) + (fp_line (start 4.5 3.25) (end -1.95 3.25) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 57bc8d57-e5ac-48ed-89c7-3542595891a3)) + (fp_line (start -1.23 1.469694) (end -1.23 -1.469694) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 275e359f-abe9-4aaf-9700-8fe94087cc5e)) + (fp_arc (start -1.230016 -1.469666) (mid 4.17 -0.000016) (end -1.23 1.469694) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 4fa399d3-3f48-4b32-ae3d-877faf1584bd)) + (fp_circle (center 1.27 0) (end 3.77 0) + (stroke (width 0.1) (type solid)) (fill none) (layer "B.Fab") (tstamp e6417287-ccef-40fb-b28f-7d9dbb1500cc)) + (pad "1" thru_hole rect (at 0 0 180) (size 1.8 1.8) (drill 0.9) (layers "*.Cu" "*.Mask") + (net 39 "/Control/STATUSn") (pinfunction "K") (pintype "passive") (tstamp faf28ef6-e2a6-443a-80ed-8f8434422e0f)) + (pad "2" thru_hole circle (at 2.54 0 180) (size 1.8 1.8) (drill 0.9) (layers "*.Cu" "*.Mask") + (net 40 "Net-(D8-A)") (pinfunction "A") (pintype "passive") (tstamp e0d223b5-853e-4d76-83c6-6ae0ac158ec6)) + (model "${KICAD6_3DMODEL_DIR}/LED_THT.3dshapes/LED_D5.0mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "B.Cu") + (tstamp 6c4e9828-a300-43f0-9360-3073dcc8dea2) + (at 153.1565 91.5905 180) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Need_order" "0") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor") + (property "ki_keywords" "R res resistor") + (path "/8a0ba43b-c2b1-434a-86b4-f66c9b27121f") + (attr smd) + (fp_text reference "R17" (at 0 1.43 unlocked) (layer "B.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1)) (justify mirror)) + (tstamp ebdc689f-8ed3-4878-9bcc-56e3db2cd1da) + ) + (fp_text value "12k" (at 0 -1.43) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp a5957972-80ef-4057-82d7-bd59ab823069) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp 48e22032-eda2-4d35-85f4-78a6a55b682c) + ) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 31e14bba-0b57-40e8-9372-2a4b65ef6732)) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp ed95fd63-3015-4495-847a-6c29ade82cec)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 4c5908d3-ebc3-4faf-824a-daeb38e5a5e4)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 0ec0ecf9-00cb-4a4c-8cca-4fe14f046be4)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 208e0b82-f89e-4a32-9c39-00a21949e20f)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp d4900243-0588-426f-90ef-2f89fab69e68)) + (fp_line (start -0.8 -0.4125) (end -0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 7b260f6e-6f47-47b6-bc7d-54ea4adf9247)) + (fp_line (start -0.8 0.4125) (end 0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp e5696c07-e443-4f9c-997e-79b8b7e0e36c)) + (fp_line (start 0.8 -0.4125) (end -0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 8ed75ff5-8fd9-4991-aa39-8cea13592662)) + (fp_line (start 0.8 0.4125) (end 0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp f96f59f4-5ee8-44ba-baaf-a08bd85c778f)) + (pad "1" smd roundrect (at -0.9125 0 180) (size 0.975 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 1 "+BATT") (pintype "passive") (tstamp f1d492b9-6c1d-40ae-bbc9-a5b5e532211d)) + (pad "2" smd roundrect (at 0.9125 0 180) (size 0.975 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 64 "Net-(Q3B-G)") (pintype "passive") (tstamp 46305abd-6cd2-4319-9dd1-a896c0aa7826)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_1210_3225Metric_Pad1.33x2.70mm_HandSolder" (layer "B.Cu") + (tstamp 78043c2b-cc14-4e7d-8b66-207358cec6c5) + (at 143.764 133.35 -90) + (descr "Capacitor SMD 1210 (3225 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "MPN" "stash tantalum") + (property "Need_order" "0") + (property "OriginalValue" "") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Polarized capacitor, small symbol") + (property "ki_keywords" "cap capacitor") + (path "/14aa2ccb-c102-40c9-98d7-0bf15286aa4a") + (attr smd) + (fp_text reference "C4" (at 0 2.3 90 unlocked) (layer "B.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1)) (justify mirror)) + (tstamp 1bd298f6-8e0b-40c1-a442-f4bd961fce1b) + ) + (fp_text value "6.8uF" (at 0 -2.3 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 5406e809-c096-4c46-af9c-7e56bcc77ff4) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 0.8 0.8) (thickness 0.12)) (justify mirror)) + (tstamp 447db80b-3e5f-4bad-a357-9542c610ba04) + ) + (fp_line (start -0.711252 -1.36) (end 0.711252 -1.36) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 6c91cac2-d1da-4a48-89c4-5b6ae6d2b419)) + (fp_line (start -0.711252 1.36) (end 0.711252 1.36) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp baed3ac6-3909-4060-8d5e-fed151631eaf)) + (fp_line (start -2.48 -1.6) (end -2.48 1.6) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 145faf65-72be-4f99-8e5f-2ff1a34fae39)) + (fp_line (start -2.48 1.6) (end 2.48 1.6) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 2a0b75e8-c9a5-4cd4-9c84-47a72d0f1a7f)) + (fp_line (start 2.48 -1.6) (end -2.48 -1.6) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp ccd55c8f-4dfa-4f88-a2fa-f74e6bec65aa)) + (fp_line (start 2.48 1.6) (end 2.48 -1.6) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp a15391f1-7037-4a43-b896-09cc82d7ef1c)) + (fp_line (start -1.6 -1.25) (end -1.6 1.25) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 4929b7c4-e34f-4587-8a26-72aa4a0658bb)) + (fp_line (start -1.6 1.25) (end 1.6 1.25) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 431a259b-cbf5-4f0e-be0f-a4fd9fc5ce05)) + (fp_line (start 1.6 -1.25) (end -1.6 -1.25) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp a426e3a0-e9a0-4a1f-91a1-504c6d47997f)) + (fp_line (start 1.6 1.25) (end 1.6 -1.25) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 43c55a13-7502-44fb-91f7-c963004fa927)) + (pad "1" smd roundrect (at -1.5625 0 270) (size 1.325 2.7) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.188679) + (net 5 "+3V3") (pintype "passive") (tstamp 2735b1e7-b3dd-401b-96ae-4721d5dcd88d)) + (pad "2" smd roundrect (at 1.5625 0 270) (size 1.325 2.7) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.188679) + (net 2 "GND") (pintype "passive") (tstamp 619069e0-1a93-4aed-a246-fdd49ec3b753)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_1210_3225Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "B.Cu") + (tstamp 7dd480f3-8cd8-46c5-8df0-747b4683b33c) + (at 144.018 95.25 180) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Need_order" "0") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor") + (property "ki_keywords" "R res resistor") + (path "/d1ab0178-5dcb-42be-807f-08869ea4a63f") + (attr smd) + (fp_text reference "R19" (at 0 1.43 unlocked) (layer "B.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1)) (justify mirror)) + (tstamp a5d09467-6735-4e31-b0f4-84e9acf20f54) + ) + (fp_text value "82" (at 0 -1.43) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp c7b89044-6dd6-4d54-84bf-76247bdb6b52) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp be65e0ec-736f-4656-b1ae-ab2f8e9d5b27) + ) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 806dcddd-84d4-46d1-9d71-dbc1a78d6090)) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 5605c4de-714a-4d13-8b9f-500d1f95ab25)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 0b4fbf50-abec-4b43-a955-6f500407345c)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp cf38160a-8cd1-4da7-9502-78d0ed4770e2)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 8b314367-8dde-4060-894d-6ca8c615aa88)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 0d977c4b-dc74-4a47-8c7e-559e81ba8f70)) + (fp_line (start -0.8 -0.4125) (end -0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 1bc0053f-5dee-4cba-bf21-45752bfa285e)) + (fp_line (start -0.8 0.4125) (end 0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 06ed9e2d-f449-4b64-af11-ab668ddefc2a)) + (fp_line (start 0.8 -0.4125) (end -0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 42d35ce1-9fff-4326-a226-a0b39643ffba)) + (fp_line (start 0.8 0.4125) (end 0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp cf663551-0a04-4c62-ac97-9f80a3ebaff5)) + (pad "1" smd roundrect (at -0.9125 0 180) (size 0.975 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 9 "/GATE_SUPPLY") (pintype "passive") (tstamp 986f01c0-6c5c-48d0-9890-68b51338e28c)) + (pad "2" smd roundrect (at 0.9125 0 180) (size 0.975 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 10 "/PIN_TX") (pintype "passive") (tstamp 52002f0a-6cba-4123-8f32-e12618f125f2)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Package_TO_SOT_SMD:SOT-223-3_TabPin2" (layer "B.Cu") + (tstamp 811d07da-e3a7-4fa6-b5f7-df5861884a1c) + (at 143.764 126.121738 -90) + (descr "module CMS SOT223 4 pins") + (tags "CMS SOT") + (property "MPN" "LD1117S33CTR") + (property "Need_order" "0") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "800mA Fixed Low Drop Positive Voltage Regulator, Fixed Output 3.3V, SOT-223") + (property "ki_keywords" "REGULATOR LDO 3.3V") + (path "/e6d3e9eb-3882-4b2a-9e73-e52c1bff5774") + (attr smd) + (fp_text reference "U2" (at 0 4.5 90 unlocked) (layer "B.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1)) (justify mirror)) + (tstamp c22011ca-06a4-4d3f-bf36-1729f15b167a) + ) + (fp_text value "LD1117S33" (at 0 -4.5 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp d4a95fc4-e2da-44be-bad7-7d7d3ea533c8) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "B.Fab") + (effects (font (size 0.8 0.8) (thickness 0.12)) (justify mirror)) + (tstamp 89535726-4bb1-4951-9aef-a4beb396b8de) + ) + (fp_line (start -4.1 3.41) (end 1.91 3.41) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 93f8016a-c6dc-4996-9d88-53a09bd3bb39)) + (fp_line (start -1.85 -3.41) (end 1.91 -3.41) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 58bedea6-19df-49c8-8a85-2e2de143da55)) + (fp_line (start 1.91 -3.41) (end 1.91 -2.15) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 4cddaf9b-e4ac-4714-922f-ca6389c070f7)) + (fp_line (start 1.91 3.41) (end 1.91 2.15) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp d4ee489c-8c34-4acf-be3e-2e4c07f0e85f)) + (fp_line (start -4.4 -3.6) (end 4.4 -3.6) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp e1122950-b4c1-466d-a674-bd211977b353)) + (fp_line (start -4.4 3.6) (end -4.4 -3.6) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp e82cceb5-93ea-4d16-b983-2c0561120590)) + (fp_line (start 4.4 -3.6) (end 4.4 3.6) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 0d82e204-b2ad-4719-9872-74dffc2fb7bb)) + (fp_line (start 4.4 3.6) (end -4.4 3.6) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 6dfea68b-0c61-4ac1-bfe7-f192d19a2d37)) + (fp_line (start -1.85 -3.35) (end 1.85 -3.35) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 36666532-9c1f-4a14-a9f3-e36a61258899)) + (fp_line (start -1.85 2.35) (end -1.85 -3.35) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 0203eb23-c096-4cf0-82c3-ed833457ebbb)) + (fp_line (start -1.85 2.35) (end -0.85 3.35) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 5a69e6d3-982d-4fd9-bcc5-8ae0d9793b87)) + (fp_line (start -0.85 3.35) (end 1.85 3.35) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp bbd450cc-7faf-45f0-abd4-9b7b2532f97e)) + (fp_line (start 1.85 3.35) (end 1.85 -3.35) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 493945ff-9cb4-4437-8c97-1a800fabb8c6)) + (pad "1" smd rect (at -3.15 2.3 270) (size 2 1.5) (layers "B.Cu" "B.Paste" "B.Mask") + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 83b82ed8-bfda-474f-8bd6-60cd3c389ad3)) + (pad "2" smd rect (at -3.15 0 270) (size 2 1.5) (layers "B.Cu" "B.Paste" "B.Mask") + (net 5 "+3V3") (pinfunction "VO") (pintype "power_out") (tstamp 28a9e0ea-d7c2-4262-8302-f90fe51d1e0e)) + (pad "2" smd rect (at 3.15 0 270) (size 2 3.8) (layers "B.Cu" "B.Paste" "B.Mask") + (net 5 "+3V3") (pinfunction "VO") (pintype "power_out") (tstamp 7a0a3c4f-3179-4d77-b5a5-60f2fa618efa)) + (pad "3" smd rect (at -3.15 -2.3 270) (size 2 1.5) (layers "B.Cu" "B.Paste" "B.Mask") + (net 1 "+BATT") (pinfunction "VI") (pintype "power_in") (tstamp 45d7bb03-8f06-4888-b4ef-7515a1e63cf1)) + (model "${KICAD6_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/SOT-223.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm" (layer "B.Cu") + (tstamp 83ed95ae-ef38-49e2-ba16-4d3a58dcf639) + (at 135.763 160.1085 -90) + (descr "SOIC, 8 Pin (JEDEC MS-012AA, https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/soic_narrow-r/r_8.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py") + (tags "SOIC SO") + (property "MPN" "IRF7309TRPBF") + (property "Need_order" "0") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "3A Id, 30V Vds, Dual HEXFET MOSFET, SO-8") + (property "ki_keywords" "Dual HEXFET N-Channel P-Channel MOSFET") + (path "/c0862d2d-32d9-4db1-a8f0-50152d090bf1") + (attr smd) + (fp_text reference "Q4" (at 0 3.4 90 unlocked) (layer "B.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1)) (justify mirror)) + (tstamp b053fe9c-d956-41d3-914a-a6d92d8768b6) + ) + (fp_text value "IRF7309" (at 0 -3.4 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp b64e45a9-656c-46ab-be3d-84340ede0754) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 0.98 0.98) (thickness 0.15)) (justify mirror)) + (tstamp ccac4241-ad81-45b7-bf17-59d4cf8f7866) + ) + (fp_line (start 0 -2.56) (end -1.95 -2.56) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 41cbbb8b-c735-44e8-b838-3c15839cb9f1)) + (fp_line (start 0 -2.56) (end 1.95 -2.56) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 5ea1a85a-dfca-48a9-ad78-67c104ab57ea)) + (fp_line (start 0 2.56) (end -3.45 2.56) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 5a1f8018-75bd-48ac-a269-d9326f597167)) + (fp_line (start 0 2.56) (end 1.95 2.56) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp d4833b4b-62b0-47df-bc45-8c511032dbdc)) + (fp_line (start -3.7 -2.7) (end 3.7 -2.7) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 7eda6c8a-8a03-4bb0-a127-9ab3488364a9)) + (fp_line (start -3.7 2.7) (end -3.7 -2.7) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 0df51e4f-f04a-4e08-8615-c2181300fca3)) + (fp_line (start 3.7 -2.7) (end 3.7 2.7) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp b8b9f6c2-d656-4ccb-a1d7-fcc6b2880efe)) + (fp_line (start 3.7 2.7) (end -3.7 2.7) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 3797e901-5144-4d7b-a0c9-15d26426bc59)) + (fp_line (start -1.95 -2.45) (end -1.95 1.475) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 54f1515c-d09d-4930-87c8-e0ec4981dc73)) + (fp_line (start -1.95 1.475) (end -0.975 2.45) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp a350b5c9-67e0-480a-85a4-18c5c6821335)) + (fp_line (start -0.975 2.45) (end 1.95 2.45) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 8dbea68f-e8ab-4ff1-a580-2ed43eede236)) + (fp_line (start 1.95 -2.45) (end -1.95 -2.45) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 168e6af0-3020-4c06-b773-52946cd5e3e4)) + (fp_line (start 1.95 2.45) (end 1.95 -2.45) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 4c897874-a961-43e0-a906-69bd4eec5fc1)) + (pad "1" smd roundrect (at -2.475 1.905 270) (size 1.95 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "S") (pintype "passive") (tstamp c06e99fb-3d8e-4316-a9fd-4df26e8909d5)) + (pad "2" smd roundrect (at -2.475 0.635 270) (size 1.95 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 42 "/EN_RX") (pinfunction "G") (pintype "input") (tstamp a1fe513a-620b-4216-8936-a62cb5ea7b52)) + (pad "3" smd roundrect (at -2.475 -0.635 270) (size 1.95 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 1 "+BATT") (pinfunction "1") (pintype "passive") (tstamp cea1bb1a-5882-4700-83e0-888c0c284d1d)) + (pad "4" smd roundrect (at -2.475 -1.905 270) (size 1.95 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 65 "Net-(Q4B-G)") (pinfunction "G") (pintype "input") (tstamp b790a409-dbe2-4052-a254-a5cf6c671a16)) + (pad "5" smd roundrect (at 2.475 -1.905 270) (size 1.95 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 66 "Net-(Q4B-D-Pad5)") (pinfunction "D") (pintype "passive") (tstamp 4d63e0ac-e964-4098-805b-fdd76eda9eb2)) + (pad "6" smd roundrect (at 2.475 -0.635 270) (size 1.95 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 66 "Net-(Q4B-D-Pad5)") (pinfunction "D") (pintype "passive") (tstamp c50c4df1-16dc-4d6e-9973-eb15bc546599)) + (pad "7" smd roundrect (at 2.475 0.635 270) (size 1.95 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 65 "Net-(Q4B-G)") (pinfunction "D") (pintype "passive") (tstamp 69c32eec-3be8-499a-a112-efd6db0a010e)) + (pad "8" smd roundrect (at 2.475 1.905 270) (size 1.95 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 65 "Net-(Q4B-G)") (pinfunction "D") (pintype "passive") (tstamp cab52eeb-ac3b-4930-9444-f983531adac3)) + (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/SOIC-8_3.9x4.9mm_P1.27mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "LED_THT:LED_D5.0mm" (layer "B.Cu") + (tstamp 8b607fc6-02f7-4020-9702-966179407acd) + (at 104.145 138.176 180) + (descr "LED, diameter 5.0mm, 2 pins, http://cdn-reichelt.de/documents/datenblatt/A500/LL-504BC2E-009.pdf") + (tags "LED diameter 5.0mm 2 pins") + (property "Need_order" "0") + (property "Sheetfile" "control.kicad_sch") + (property "Sheetname" "Control") + (property "ki_description" "Light emitting diode") + (property "ki_keywords" "LED diode") + (path "/717d30dc-b4e1-489a-b7b3-52f4b7c65672/e54c7bd4-c019-4c41-b58c-0c1306e4c0c7") + (attr through_hole) + (fp_text reference "D7" (at 1.27 3.96 unlocked) (layer "B.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1)) (justify mirror)) + (tstamp fa4045bf-6465-4101-b5df-e40590463a5b) + ) + (fp_text value "TX" (at 1.27 -3.96) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 0f356bb0-4847-4196-8deb-89f28c6fdef9) + ) + (fp_text user "${REFERENCE}" (at 1.25 0) (layer "B.Fab") + (effects (font (size 0.8 0.8) (thickness 0.2)) (justify mirror)) + (tstamp 397a810a-b70e-45cb-8bc3-2af8b1f72dc4) + ) + (fp_line (start -1.29 1.545) (end -1.29 -1.545) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp b97d4dba-290f-4c84-99e6-62bfb675740b)) + (fp_arc (start -1.29 -1.54483) (mid 2.072002 -2.880433) (end 4.26 0.000462) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 3f796a25-cee3-43c2-8a41-b3852ef7d6f1)) + (fp_arc (start 4.26 -0.000462) (mid 2.072002 2.880433) (end -1.29 1.54483) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 404759de-c37b-4961-a3c3-7147fae29b74)) + (fp_circle (center 1.27 0) (end 3.77 0) + (stroke (width 0.12) (type solid)) (fill none) (layer "B.SilkS") (tstamp 8b4e99cb-4242-4384-893a-0ade259780cb)) + (fp_line (start -1.95 -3.25) (end 4.5 -3.25) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp fa4958e8-573f-4321-ac83-1a498de90123)) + (fp_line (start -1.95 3.25) (end -1.95 -3.25) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp f881cc09-df1d-4fe1-8d63-36e49c03afae)) + (fp_line (start 4.5 -3.25) (end 4.5 3.25) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 2c2f839e-f441-4311-b273-799bbf2f928b)) + (fp_line (start 4.5 3.25) (end -1.95 3.25) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp a058d30c-e2a4-4a29-9f32-ec18266e05b5)) + (fp_line (start -1.23 1.469694) (end -1.23 -1.469694) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 471a0074-7cfa-4b85-8137-62b8f9b539aa)) + (fp_arc (start -1.230016 -1.469666) (mid 4.17 -0.000016) (end -1.23 1.469694) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 14046165-3adf-4bda-afca-dec9c2a24091)) + (fp_circle (center 1.27 0) (end 3.77 0) + (stroke (width 0.1) (type solid)) (fill none) (layer "B.Fab") (tstamp 5c8a18e3-7a80-407b-8008-3b65ea46fd85)) + (pad "1" thru_hole rect (at 0 0 180) (size 1.8 1.8) (drill 0.9) (layers "*.Cu" "*.Mask") + (net 37 "/Control/LED_TXn") (pinfunction "K") (pintype "passive") (tstamp 4771804d-1459-46cf-86f0-b198e587396a)) + (pad "2" thru_hole circle (at 2.54 0 180) (size 1.8 1.8) (drill 0.9) (layers "*.Cu" "*.Mask") + (net 38 "Net-(D7-A)") (pinfunction "A") (pintype "passive") (tstamp 27837d57-3b7e-4af3-b7c1-df1d5fc27601)) + (model "${KICAD6_3DMODEL_DIR}/LED_THT.3dshapes/LED_D5.0mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" (layer "B.Cu") + (tstamp 8dcb023d-8bfd-484c-877b-3d03580228ad) + (at 156.9505 91.44) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "MPN" "") + (property "Need_order" "") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/cf43c214-34b1-44e8-815e-165019a87bb6") + (attr smd) + (fp_text reference "C35" (at 0 1.68 unlocked) (layer "B.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1)) (justify mirror)) + (tstamp 106f6ee5-9625-48ce-ae83-faaaa1568086) + ) + (fp_text value "100pF" (at 0 -1.68) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp a939ea3d-f463-4451-87db-f0a8b6cb4627) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "B.Fab") + (effects (font (size 0.5 0.5) (thickness 0.08)) (justify mirror)) + (tstamp ebd96163-7e49-4ccf-aacc-372ece0cb7ac) + ) + (fp_line (start -0.261252 -0.735) (end 0.261252 -0.735) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp fed17776-5aa8-4105-82a4-37aa30256a2b)) + (fp_line (start -0.261252 0.735) (end 0.261252 0.735) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp ffd41de0-7552-43c5-a759-7669cd04543c)) + (fp_line (start -1.88 -0.98) (end -1.88 0.98) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 28da8fb8-5b49-403b-be32-322090c5a535)) + (fp_line (start -1.88 0.98) (end 1.88 0.98) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp a35a3d30-0fbe-4890-a060-ef127266cd20)) + (fp_line (start 1.88 -0.98) (end -1.88 -0.98) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp f62fc700-2aef-4240-8a94-4713c09348cf)) + (fp_line (start 1.88 0.98) (end 1.88 -0.98) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 77a96bca-3052-4a37-9de6-31afb65610a3)) + (fp_line (start -1 -0.625) (end -1 0.625) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 35e19067-ee86-4c67-bcc3-82ec5caaa379)) + (fp_line (start -1 0.625) (end 1 0.625) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 8d4e2202-af5e-44ad-a42b-17434852aa04)) + (fp_line (start 1 -0.625) (end -1 -0.625) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 64e01102-92f3-497c-b70a-1e1ddfafae21)) + (fp_line (start 1 0.625) (end 1 -0.625) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp df9a30b7-3c9a-4600-9fc3-bc33ddc5b2e5)) + (pad "1" smd roundrect (at -1.0375 0) (size 1.175 1.45) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.212766) + (net 1 "+BATT") (pintype "passive") (tstamp bba3979e-fdc6-4bd9-bb7d-71c1635a623c)) + (pad "2" smd roundrect (at 1.0375 0) (size 1.175 1.45) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.212766) + (net 2 "GND") (pintype "passive") (tstamp 04596d3b-327d-4f7e-81dd-fc76605f1ef3)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Button_Switch_THT:SW_PUSH_6mm" (layer "B.Cu") + (tstamp 94be9df7-65a8-4db0-bb4f-7cb79c730382) + (at 102.31 118.568 180) + (descr "https://www.omron.com/ecb/products/pdf/en-b3f.pdf") + (tags "tact sw push 6mm") + (property "MPN" "FSM8JH") + (property "Need_order" "0") + (property "Sheetfile" "control.kicad_sch") + (property "Sheetname" "Control") + (property "ki_description" "Push button switch, generic, two pins") + (property "ki_keywords" "switch normally-open pushbutton push-button") + (path "/717d30dc-b4e1-489a-b7b3-52f4b7c65672/7a47fd27-5901-46d1-ac73-82f5732678d9") + (attr through_hole) + (fp_text reference "SW2" (at 3.25 2 unlocked) (layer "B.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1)) (justify mirror)) + (tstamp 0e9fb00b-71b3-4c01-9415-17d08e467897) + ) + (fp_text value "A" (at 3.75 -6.7) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp b1ee368d-5c68-4adc-87a1-606de94b0f76) + ) + (fp_text user "${REFERENCE}" (at 3.25 -2.25) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 805ccf8e-b7e8-4ce7-883c-bd2e496cedee) + ) + (fp_line (start -0.25 -1.5) (end -0.25 -3) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp e9ecafd9-0bed-4a6e-9d30-95d4afcec9e6)) + (fp_line (start 1 -5.5) (end 5.5 -5.5) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp afe2a43a-2511-4d16-a953-d822e4f2add7)) + (fp_line (start 5.5 1) (end 1 1) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp f8cb681a-2850-4921-b0fa-aaf99e9b9d6e)) + (fp_line (start 6.75 -3) (end 6.75 -1.5) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 2a755b4f-f41b-49cd-8143-010615953412)) + (fp_line (start -1.5 -6) (end -1.25 -6) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 326d883f-6495-4877-af80-83e442f371e3)) + (fp_line (start -1.5 -5.75) (end -1.5 -6) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 7f1ab90e-8211-47e1-85da-de81fdeb1423)) + (fp_line (start -1.5 -5.75) (end -1.5 1.25) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp aa474ad9-78cc-4dee-865f-bbaa9b64d189)) + (fp_line (start -1.5 1.25) (end -1.5 1.5) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 4058ffd8-b8e4-49c1-b918-bfda3adda457)) + (fp_line (start -1.5 1.5) (end -1.25 1.5) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp adb8cd57-c67a-4675-9416-c71d05157d07)) + (fp_line (start -1.25 1.5) (end 7.75 1.5) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 9201bd5a-7e6e-4c14-8c9e-7e530c552818)) + (fp_line (start 7.75 -6) (end -1.25 -6) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp a1061ca2-4955-41bb-9216-f4eb30827144)) + (fp_line (start 7.75 -6) (end 8 -6) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 690b5333-a100-4a5b-a586-260f2d3c2c56)) + (fp_line (start 7.75 1.5) (end 8 1.5) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp a95447ed-0111-499d-bd76-62477764e367)) + (fp_line (start 8 -6) (end 8 -5.75) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp f9cab99d-a7c5-4826-bc81-96efcefe09bc)) + (fp_line (start 8 1.25) (end 8 -5.75) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp f4e67275-70b7-44ad-86d3-82d9e57547be)) + (fp_line (start 8 1.5) (end 8 1.25) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp c9b6ac01-5469-4bb3-b29b-7951dd7abf4c)) + (fp_line (start 0.25 -5.25) (end 0.25 0.75) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 50ecca31-2466-47f8-890a-b7f4bedeabd5)) + (fp_line (start 0.25 0.75) (end 3.25 0.75) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp c0092be6-60db-47ae-81a8-7f344a4f2c79)) + (fp_line (start 3.25 0.75) (end 6.25 0.75) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 132fd626-340d-4a55-bde8-16b0d7651542)) + (fp_line (start 6.25 -5.25) (end 0.25 -5.25) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 9ce381ae-73b3-4f4f-bf93-f9eb14a8e3d0)) + (fp_line (start 6.25 0.75) (end 6.25 -5.25) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 906ae472-ed32-425a-ae39-d9e5229d5c1b)) + (fp_circle (center 3.25 -2.25) (end 1.25 -2.5) + (stroke (width 0.1) (type solid)) (fill none) (layer "B.Fab") (tstamp f0e693c6-b082-48b4-b977-2014487cde59)) + (pad "1" thru_hole circle (at 0 0 90) (size 2 2) (drill 1.1) (layers "*.Cu" "*.Mask") + (net 2 "GND") (pinfunction "1") (pintype "passive") (tstamp d3f845cc-dec2-4a9c-984c-de08f7501bc2)) + (pad "1" thru_hole circle (at 6.5 0 90) (size 2 2) (drill 1.1) (layers "*.Cu" "*.Mask") + (net 2 "GND") (pinfunction "1") (pintype "passive") (tstamp 431af3f0-284e-41bf-a1b8-6bff241feea0)) + (pad "2" thru_hole circle (at 0 -4.5 90) (size 2 2) (drill 1.1) (layers "*.Cu" "*.Mask") + (net 81 "/Control/BTN2n") (pinfunction "2") (pintype "passive") (tstamp 56c8bbb7-d0ff-4d82-a349-a0cb3f77113f)) + (pad "2" thru_hole circle (at 6.5 -4.5 90) (size 2 2) (drill 1.1) (layers "*.Cu" "*.Mask") + (net 81 "/Control/BTN2n") (pinfunction "2") (pintype "passive") (tstamp a3d69283-6ca0-43d6-853c-d9e17ba68b97)) + (model "${KICAD6_3DMODEL_DIR}/Button_Switch_THT.3dshapes/SW_PUSH_6mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" (layer "B.Cu") + (tstamp a0155979-bada-4782-ba6e-99956f836a49) + (at 141.732 87.63 180) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "MPN" "VJ0805A103KXJTBC") + (property "Need_order" "0") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/7fc8747a-1e3a-450b-b42c-b93c4553ac91") + (attr smd) + (fp_text reference "C7" (at 0 1.68 unlocked) (layer "B.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1)) (justify mirror)) + (tstamp aa8ff575-3b92-4a9c-8c33-11b973cde37b) + ) + (fp_text value "10nF" (at 0 -1.68) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 18b95d38-1a02-43af-aade-6521b6904575) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "B.Fab") + (effects (font (size 0.5 0.5) (thickness 0.08)) (justify mirror)) + (tstamp 9fd84b92-797e-4b62-a183-97b5edaca344) + ) + (fp_line (start -0.261252 -0.735) (end 0.261252 -0.735) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 203ccb92-cffd-4d63-bf04-0b1ca67b7d14)) + (fp_line (start -0.261252 0.735) (end 0.261252 0.735) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 8ee5df7b-dff2-418e-8492-408066f97266)) + (fp_line (start -1.88 -0.98) (end -1.88 0.98) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp d84c8112-cfc4-4613-98f5-ce35dad813c7)) + (fp_line (start -1.88 0.98) (end 1.88 0.98) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 33f0edbf-6c75-42eb-9b82-c9913f468a7a)) + (fp_line (start 1.88 -0.98) (end -1.88 -0.98) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp ae0ec9c9-ab92-4338-99ad-ec4aac110b92)) + (fp_line (start 1.88 0.98) (end 1.88 -0.98) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp aed19da0-7fd4-47c4-8038-87b41c39b0e4)) + (fp_line (start -1 -0.625) (end -1 0.625) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp b46da52b-195c-4c80-adf2-abe6419337f7)) + (fp_line (start -1 0.625) (end 1 0.625) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 5a72afb0-3099-4614-9d98-81dd7870fdd3)) + (fp_line (start 1 -0.625) (end -1 -0.625) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp ad3f8826-0e94-48d6-9151-95023cd1cb34)) + (fp_line (start 1 0.625) (end 1 -0.625) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 2686a4e2-2dd3-43f2-a9a5-9e028a796197)) + (pad "1" smd roundrect (at -1.0375 0 180) (size 1.175 1.45) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.212766) + (net 9 "/GATE_SUPPLY") (pintype "passive") (tstamp 22c786cc-7551-4631-9266-e7e57a5cc538)) + (pad "2" smd roundrect (at 1.0375 0 180) (size 1.175 1.45) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.212766) + (net 2 "GND") (pintype "passive") (tstamp 30508225-3dc3-45a4-9372-af96af020dfd)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Connector_Coaxial:SMA_Amphenol_132291_Vertical" (layer "B.Cu") + (tstamp a02b1d03-e905-4c76-8981-6c8140a01b1e) + (at 172.466 152.4 180) + (descr "https://www.amphenolrf.com/downloads/dl/file/id/3222/product/2918/132291_customer_drawing.pdf") + (tags "SMA THT Female Jack Vertical Bulkhead") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "coaxial connector (BNC, SMA, SMB, SMC, Cinch/RCA, LEMO, ...)") + (property "ki_keywords" "BNC SMA SMB SMC LEMO coaxial connector CINCH RCA") + (path "/4be29bca-c94a-4169-a61b-19fb886d001b") + (attr through_hole) + (fp_text reference "J7" (at 0 4.75 unlocked) (layer "B.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1)) (justify mirror)) + (tstamp f2d79d5a-a672-4b08-b076-58572cd3ed91) + ) + (fp_text value "LoRa" (at 0 -5) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp f22f1301-893e-4d91-97b7-90444d218950) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp b6b947d1-4e89-436a-93b1-de85ed806ca8) + ) + (fp_line (start -3.61 1.66) (end -3.61 -1.66) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 87ca27f1-1115-4dd9-afe3-87925d4e5c46)) + (fp_line (start -1.66 -3.61) (end 1.66 -3.61) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp a2f524e8-b7cb-4840-a3a8-e83302f5be72)) + (fp_line (start -1.66 3.61) (end 1.66 3.61) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp f0b3cb04-b2ae-4574-b386-2aa083f7b7dd)) + (fp_line (start 3.61 1.66) (end 3.61 -1.66) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp f6728b3f-c7cd-4564-94f4-29124902539a)) + (fp_line (start -4.17 4.17) (end -4.17 -4.17) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 178c213e-93c9-4b7f-8d75-f6987207ae15)) + (fp_line (start -4.17 4.17) (end 4.17 4.17) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp f335c0aa-90e1-4f52-96d4-0b96f70bb850)) + (fp_line (start 4.17 -4.17) (end -4.17 -4.17) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 4fe79d14-9126-4fff-a7cf-5e6ce41d41cc)) + (fp_line (start 4.17 -4.17) (end 4.17 4.17) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp b498d960-70f8-4595-a7fb-70ac560191fd)) + (fp_line (start -3.5 -3.5) (end 3.5 -3.5) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 5c044d82-4dfe-4652-ac85-4289f8b8cfc2)) + (fp_line (start -3.5 3.5) (end -3.5 -3.5) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 5d246b4b-06f1-4712-886c-3fad89ac6687)) + (fp_line (start -3.5 3.5) (end 3.5 3.5) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 8c8e9612-ed3f-4a67-929d-36ab15671e8d)) + (fp_line (start 3.5 3.5) (end 3.5 -3.5) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 9d167708-0c8e-4270-97e0-bc825317b217)) + (fp_circle (center 0 0) (end 3.175 0) + (stroke (width 0.1) (type solid)) (fill none) (layer "B.Fab") (tstamp 35cf2891-4596-44f9-8466-85082e4898ea)) + (pad "1" thru_hole circle (at 0 0 180) (size 2.05 2.05) (drill 1.5) (layers "*.Cu" "*.Mask") + (net 34 "Net-(D5-K)") (pinfunction "In") (pintype "passive") (tstamp 7db212f9-2705-4efa-bbc8-3d5693cb6ebb)) + (pad "2" thru_hole circle (at -2.54 -2.54 180) (size 2.25 2.25) (drill 1.7) (layers "*.Cu" "*.Mask") + (net 2 "GND") (pinfunction "Ext") (pintype "passive") (tstamp e4b794c2-1c6f-4981-a501-2a22eb2fc764)) + (pad "2" thru_hole circle (at -2.54 2.54 180) (size 2.25 2.25) (drill 1.7) (layers "*.Cu" "*.Mask") + (net 2 "GND") (pinfunction "Ext") (pintype "passive") (tstamp 50453a14-74d6-46bc-aa2a-dd2f625f1e7e)) + (pad "2" thru_hole circle (at 2.54 -2.54 180) (size 2.25 2.25) (drill 1.7) (layers "*.Cu" "*.Mask") + (net 2 "GND") (pinfunction "Ext") (pintype "passive") (tstamp 8c930dec-ea52-4a66-bf8f-034119f20551)) + (pad "2" thru_hole circle (at 2.54 2.54 180) (size 2.25 2.25) (drill 1.7) (layers "*.Cu" "*.Mask") + (net 2 "GND") (pinfunction "Ext") (pintype "passive") (tstamp 43b125dd-9c4b-46b6-9017-59efa1249136)) + (model "${KICAD6_3DMODEL_DIR}/Connector_Coaxial.3dshapes/SMA_Amphenol_132291_Vertical.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "B.Cu") + (tstamp a44e5367-6a79-4fdc-9a61-05b09c298271) + (at 139.446 162.052 -90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Need_order" "0") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor") + (property "ki_keywords" "R res resistor") + (path "/56e0951d-250c-4ac7-9544-69628f1d245c") + (attr smd) + (fp_text reference "R24" (at 0 1.43 90 unlocked) (layer "B.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1)) (justify mirror)) + (tstamp 42f69d7a-a3b4-4151-8f71-8b99ba85eabc) + ) + (fp_text value "10k" (at 0 -1.43 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 3a856bb6-4528-4ee4-9e65-34e4b58a240e) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp 8ebaeffc-00c3-4882-a164-aa305c0d0c0f) + ) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 25bfebf6-1ad2-4abd-ac12-b3a09b93c5e0)) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp b6b62fda-30e3-45e4-89ad-9b15a86c72e7)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 8bdd7b30-6363-4ded-9d79-d5e3e8858ea6)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 342fdcd6-2710-457f-8cb7-8317a09252f1)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp a0c49e11-d8fd-4a2a-9e98-04235f088e0e)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 7e19c30d-7c15-4c55-ad3d-ea69631feac0)) + (fp_line (start -0.8 -0.4125) (end -0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 84f0f310-bf81-4fb5-983e-5e79cb479728)) + (fp_line (start -0.8 0.4125) (end 0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 04654d59-c5fd-4920-ae6e-5dc973f9c98e)) + (fp_line (start 0.8 -0.4125) (end -0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 1a30d188-7abc-40e7-9fe8-68c822b41386)) + (fp_line (start 0.8 0.4125) (end 0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 7a1f3832-5760-4bb8-9eeb-8062528b8c25)) + (pad "1" smd roundrect (at -0.9125 0 270) (size 0.975 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp a09c1615-0453-4179-bdf6-56233d4c3db4)) + (pad "2" smd roundrect (at 0.9125 0 270) (size 0.975 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 66 "Net-(Q4B-D-Pad5)") (pintype "passive") (tstamp fb595f95-ee3d-415b-b8c4-ccee6aca18a9)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "B.Cu") + (tstamp a7c42a67-2190-44f4-ad1b-e8372d70586d) + (at 112.268 148.082 180) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Need_order" "0") + (property "Sheetfile" "control.kicad_sch") + (property "Sheetname" "Control") + (property "dnp" "") + (property "ki_description" "Resistor") + (property "ki_keywords" "R res resistor") + (path "/717d30dc-b4e1-489a-b7b3-52f4b7c65672/364a74f4-7672-4d7f-b338-962ad9495792") + (attr smd) + (fp_text reference "R43" (at 0 1.43 unlocked) (layer "B.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1)) (justify mirror)) + (tstamp 3597b776-d698-4168-8e47-8f07e9878c81) + ) + (fp_text value "100k" (at 0 -1.43) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp ac9f5662-6354-45df-af6c-1ff0ed17234c) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp 5cfbbcaa-5e0b-44f2-aa8d-d8abc494b853) + ) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 3567d4b8-c089-4413-ab55-a1943980847e)) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp ed8193f0-87cf-4c69-9ac9-906fda87eda3)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp ea41738e-8759-43c2-9284-818e941c8331)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 50379894-fdd0-4f88-883c-b9f1f741acfa)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 3fcad22e-d0f1-49ea-ba60-a73f9b7f70b9)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 6e935aba-9dcc-4e42-a960-4219fd5c5c06)) + (fp_line (start -0.8 -0.4125) (end -0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp bea4eb21-30ab-40f0-a6f6-7f503062dd60)) + (fp_line (start -0.8 0.4125) (end 0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 013729cd-950b-43ad-91bd-dd5ba77712d3)) + (fp_line (start 0.8 -0.4125) (end -0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 563ce07e-69b0-4ea1-ac3e-fa48e79b4336)) + (fp_line (start 0.8 0.4125) (end 0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 43ddf481-660f-4adf-8a8f-d99287fd3d2e)) + (pad "1" smd roundrect (at -0.9125 0 180) (size 0.975 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 5 "+3V3") (pintype "passive") (tstamp 767c99aa-76fa-4772-b2ba-05075c30c23f)) + (pad "2" smd roundrect (at 0.9125 0 180) (size 0.975 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 88 "/Control/BOOT1") (pintype "passive") (tstamp f542b3ec-adef-4542-8fe6-8987c2b55f28)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "B.Cu") + (tstamp b61624c7-dfad-4ff0-b31d-3fd939957d90) + (at 146.334 110.250262 90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Need_order" "0") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor") + (property "ki_keywords" "R res resistor") + (path "/a2f2df29-bd8c-4a86-b54c-b670587ac3d3") + (attr smd) + (fp_text reference "R1" (at 0 1.43 270 unlocked) (layer "B.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1)) (justify mirror)) + (tstamp 27c3e4dd-71aa-42ab-adc6-f77d5cf62a0e) + ) + (fp_text value "6.8k" (at 0 -1.43 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp bba7ccb2-62a1-4d4f-8b95-7c1ba54cf818) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp bbc54db5-f2a5-4539-9f66-bc14e9a50cc1) + ) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 58cd8fed-c69a-4c78-b29e-1bc540b71941)) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 40f436ea-a7ae-4dbc-96bf-c2d0df18d852)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 81ffe60c-1f73-4a81-bf00-76a675da1c03)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 64c77a85-245d-4bea-bcba-70b940c4597d)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp c5312bc6-c439-44fc-84dd-8219d5c852dd)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 97abfb50-f77e-4a03-8f20-7708c0ae66a8)) + (fp_line (start -0.8 -0.4125) (end -0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp c6d89069-792f-4050-8498-1951f4f9c09f)) + (fp_line (start -0.8 0.4125) (end 0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 532cb7ce-b083-46ec-bed6-1356d2276ab4)) + (fp_line (start 0.8 -0.4125) (end -0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 7ac1d021-d09a-4e17-9a01-85ec43610423)) + (fp_line (start 0.8 0.4125) (end 0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 2f8161db-ec1d-49d3-8d98-67f488cfe106)) + (pad "1" smd roundrect (at -0.9125 0 90) (size 0.975 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 67 "/Control/BAT_MEAS") (pintype "passive") (tstamp 32ef1ec1-3952-42a0-bfe4-675414867d27)) + (pad "2" smd roundrect (at 0.9125 0 90) (size 0.975 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 1 "+BATT") (pintype "passive") (tstamp e998910d-997d-468c-9e07-4d7a17807522)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_1210_3225Metric_Pad1.33x2.70mm_HandSolder" (layer "B.Cu") + (tstamp ba83630b-cc26-4582-b7e3-fc4d8263c1b8) + (at 124.714 134.874 90) + (descr "Capacitor SMD 1210 (3225 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "MPN" "stash tantalum") + (property "Need_order" "0") + (property "Sheetfile" "control.kicad_sch") + (property "Sheetname" "Control") + (property "ki_description" "Polarized capacitor, small symbol") + (property "ki_keywords" "cap capacitor") + (path "/717d30dc-b4e1-489a-b7b3-52f4b7c65672/22ef4cbc-aab9-4f79-9f6b-5849b521dd55") + (attr smd) + (fp_text reference "C28" (at 0 2.3 -90 unlocked) (layer "B.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1)) (justify mirror)) + (tstamp 0978f771-3fff-47b9-999e-c0c90e339669) + ) + (fp_text value "6.8uF" (at 0 -2.3 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 1c379f2e-73f7-4a1c-bec8-2e26a2aac8a7) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 0.8 0.8) (thickness 0.12)) (justify mirror)) + (tstamp 1b808cb3-006c-487b-9c21-e56460d651e8) + ) + (fp_line (start -0.711252 -1.36) (end 0.711252 -1.36) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp e4f55b2d-11be-4e72-a15d-5adfeee5dfdf)) + (fp_line (start -0.711252 1.36) (end 0.711252 1.36) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp d2514393-0949-4884-b1f0-8e883711c809)) + (fp_line (start -2.48 -1.6) (end -2.48 1.6) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 5d18ba60-c04e-472b-9086-0b13becc6deb)) + (fp_line (start -2.48 1.6) (end 2.48 1.6) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp c295f5b7-96c7-4c66-9f8c-67a3fea33d9d)) + (fp_line (start 2.48 -1.6) (end -2.48 -1.6) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 955b387a-76d8-46ca-b62f-2f593a038e55)) + (fp_line (start 2.48 1.6) (end 2.48 -1.6) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 022c376e-feb5-43a9-b3e4-089a7f2d2ecc)) + (fp_line (start -1.6 -1.25) (end -1.6 1.25) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 49c3c247-73fc-40fa-91b0-48c73b975fc1)) + (fp_line (start -1.6 1.25) (end 1.6 1.25) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp d9424bd5-936f-4b46-af1b-04e50898790c)) + (fp_line (start 1.6 -1.25) (end -1.6 -1.25) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp fd79e758-f4c7-4a8b-aaf3-efb6764f2341)) + (fp_line (start 1.6 1.25) (end 1.6 -1.25) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 779df100-64fa-4f49-96da-dd7d42fd8842)) + (pad "1" smd roundrect (at -1.5625 0 90) (size 1.325 2.7) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.188679) + (net 5 "+3V3") (pintype "passive") (tstamp 26fb172e-1081-4a9c-a5e2-89f1d7ae7ab5)) + (pad "2" smd roundrect (at 1.5625 0 90) (size 1.325 2.7) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.188679) + (net 2 "GND") (pintype "passive") (tstamp 9ca13af1-e169-43f3-aef3-5f19a2b76570)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_1210_3225Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_1210_3225Metric_Pad1.33x2.70mm_HandSolder" (layer "B.Cu") + (tstamp be0350e2-965a-491c-8eb9-dc1adf67c186) + (at 143.764 119.888 180) + (descr "Capacitor SMD 1210 (3225 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "MPN" "TAJA106K016RNJ") + (property "Need_order" "0") + (property "OriginalValue" "") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Polarized capacitor, small symbol") + (property "ki_keywords" "cap capacitor") + (path "/667ec529-b1e3-4a1d-a1bf-c927601d2c42") + (attr smd) + (fp_text reference "C1" (at 0 2.3 unlocked) (layer "B.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1)) (justify mirror)) + (tstamp afd2dfbb-4605-499d-8181-84516009d112) + ) + (fp_text value "10uF" (at 0 -2.3) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 315a2278-dad2-4f37-b75a-00507f6dbe95) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "B.Fab") + (effects (font (size 0.8 0.8) (thickness 0.12)) (justify mirror)) + (tstamp cc7735cc-5b6c-43ec-aa92-edb4f71f8866) + ) + (fp_line (start -0.711252 -1.36) (end 0.711252 -1.36) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 6023a89a-8d6e-4f1d-82b2-029f18053fc2)) + (fp_line (start -0.711252 1.36) (end 0.711252 1.36) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp d89c0946-da41-4046-a28b-8dc7af42ecba)) + (fp_line (start -2.48 -1.6) (end -2.48 1.6) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 4024b68b-0a18-4be2-9193-1c5e48957e81)) + (fp_line (start -2.48 1.6) (end 2.48 1.6) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp d412ef4a-d1f3-451c-bc96-a51805112981)) + (fp_line (start 2.48 -1.6) (end -2.48 -1.6) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 0f4cf2dd-8f16-407a-ac8e-38bde8c8339a)) + (fp_line (start 2.48 1.6) (end 2.48 -1.6) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 530db9ab-982a-4a44-9df7-9cd86a1f0d80)) + (fp_line (start -1.6 -1.25) (end -1.6 1.25) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 57135e21-df26-43b0-b029-d02f1d69d050)) + (fp_line (start -1.6 1.25) (end 1.6 1.25) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 43961063-ee05-4b31-be63-37837f5940f3)) + (fp_line (start 1.6 -1.25) (end -1.6 -1.25) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 14cbf679-9aa3-4f0c-9fed-009fa75e8d5e)) + (fp_line (start 1.6 1.25) (end 1.6 -1.25) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp a426bee1-7d0a-48ef-86b8-9f91c1976ab2)) + (pad "1" smd roundrect (at -1.5625 0 180) (size 1.325 2.7) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.188679) + (net 1 "+BATT") (pintype "passive") (tstamp d94bc8dd-f246-44f8-91c9-5d92fd997a43)) + (pad "2" smd roundrect (at 1.5625 0 180) (size 1.325 2.7) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.188679) + (net 2 "GND") (pintype "passive") (tstamp 699c21f4-7c8e-483f-bea3-6f7d9c608fbc)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_1210_3225Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "B.Cu") + (tstamp ccbec92b-02ff-4906-a69c-fc78bac05d3e) + (at 152.3945 88.6695 -90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Need_order" "0") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor") + (property "ki_keywords" "R res resistor") + (path "/4c64125f-c8f9-47f2-b8d2-3aff79c86072") + (attr smd) + (fp_text reference "R18" (at 0 1.43 90 unlocked) (layer "B.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1)) (justify mirror)) + (tstamp d75d5d63-84d4-4ec8-99a8-1b7f6065e23c) + ) + (fp_text value "10k" (at 0 -1.43 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 7661a269-6c27-43a7-b2a1-ecae6f1d53bd) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp b2ae55fe-de90-4f5e-b882-449c1931b50b) + ) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 641bdf85-569c-40c9-bb50-10688c5d5732)) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp f21071b0-0ff8-4dd8-9f20-4197010f036c)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp dbaa175b-7fce-445e-9b86-2955bba7c442)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp d598e41d-aec2-4e16-a1fe-42e9101fbf1a)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 73b874c7-5186-4adb-8ff0-396798299538)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 2ef37256-7818-404b-aad4-7e5c91de4c5a)) + (fp_line (start -0.8 -0.4125) (end -0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 7fc5c150-d0ba-49ce-8b7c-63b8df97aa04)) + (fp_line (start -0.8 0.4125) (end 0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp c40bc88c-31cf-47ef-adb7-ab364c030e8b)) + (fp_line (start 0.8 -0.4125) (end -0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp cdffff8d-a7b2-4cb0-b275-67c4127f90d7)) + (fp_line (start 0.8 0.4125) (end 0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp c86c7d08-8a28-4c36-96bc-7dc74e65dba1)) + (pad "1" smd roundrect (at -0.9125 0 270) (size 0.975 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp f45e7bf3-a73e-4569-a8a7-15ab4b8abc57)) + (pad "2" smd roundrect (at 0.9125 0 270) (size 0.975 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 63 "/EN_PA") (pintype "passive") (tstamp a42377f7-962a-4fff-926f-cd4985b5235b)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "B.Cu") + (tstamp cfff0d0d-b6c5-4bea-a52f-6edbb3de0577) + (at 126.492 150.368 -90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Need_order" "0") + (property "Sheetfile" "control.kicad_sch") + (property "Sheetname" "Control") + (property "ki_description" "Resistor") + (property "ki_keywords" "R res resistor") + (path "/717d30dc-b4e1-489a-b7b3-52f4b7c65672/c4c45951-31e5-4174-b3e6-51ed162fc1f8") + (attr smd) + (fp_text reference "R33" (at 0 1.43 90 unlocked) (layer "B.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1)) (justify mirror)) + (tstamp eef87c6e-9761-40d9-a7ec-ebfd8b2e3b86) + ) + (fp_text value "10k" (at 0 -1.43 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 460286cd-fefc-4192-9d0d-e4eafb9102d0) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp 2e038e9f-527c-4e43-a4a2-d5b4c098e3e8) + ) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp ede7afb3-93e0-4b21-8b00-869c80d9264a)) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 5da5ac44-9946-45af-baa0-956131ceada0)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp b01896f3-d08b-484f-aa5f-244221b1a793)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 0d15a653-a4c7-4470-b102-9f5be853d3c7)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 0c7ef816-5c7a-4c72-9a4b-66adc5a5f278)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp cf6386c7-f836-478f-8c3c-6747df6df8c7)) + (fp_line (start -0.8 -0.4125) (end -0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 6ec7575d-42fc-4510-98c7-894de04932ff)) + (fp_line (start -0.8 0.4125) (end 0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 531a048b-79cb-40e9-83f0-b4c9f2cd3f5f)) + (fp_line (start 0.8 -0.4125) (end -0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 36daee6c-7d95-4edc-bc26-b404d87017bd)) + (fp_line (start 0.8 0.4125) (end 0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 18fdaf0d-b2cf-4612-a1d2-f618f5617118)) + (pad "1" smd roundrect (at -0.9125 0 270) (size 0.975 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 5 "+3V3") (pintype "passive") (tstamp f7cb829b-be7d-4fa2-a435-6053915a249a)) + (pad "2" smd roundrect (at 0.9125 0 270) (size 0.975 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 49 "/Control/RESETn") (pintype "passive") (tstamp eb851664-15a2-45b0-a12a-98cd130cf3d6)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm" (layer "B.Cu") + (tstamp d130f40b-65f7-4758-9621-a3298d0cee04) + (at 147.592 89.7175 180) + (descr "SOIC, 8 Pin (JEDEC MS-012AA, https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/soic_narrow-r/r_8.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py") + (tags "SOIC SO") + (property "MPN" "IRF7309TRPBF") + (property "Need_order" "0") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "3A Id, 30V Vds, Dual HEXFET MOSFET, SO-8") + (property "ki_keywords" "Dual HEXFET N-Channel P-Channel MOSFET") + (path "/87bbc239-d724-40f1-af55-0dcf2a6ce7f9") + (attr smd) + (fp_text reference "Q3" (at 0 3.4 unlocked) (layer "B.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1)) (justify mirror)) + (tstamp 220a9731-8fef-4cdd-90e6-8884e44b53f0) + ) + (fp_text value "IRF7309" (at 0 -3.4) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 1ff05857-25ea-4790-a876-b9e2994178b4) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "B.Fab") + (effects (font (size 0.98 0.98) (thickness 0.15)) (justify mirror)) + (tstamp a8299d5e-1f56-4541-81aa-5efed618ccc7) + ) + (fp_line (start 0 -2.56) (end -1.95 -2.56) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 1ab107c9-e0d1-4251-864a-68bc9fd2f28d)) + (fp_line (start 0 -2.56) (end 1.95 -2.56) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp c742f7c5-91c1-42c4-b30b-ef2fbc3cfdec)) + (fp_line (start 0 2.56) (end -3.45 2.56) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp c4a45a57-45a0-4df0-9bc4-ff3244fb6ef5)) + (fp_line (start 0 2.56) (end 1.95 2.56) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 1e10e1c5-9126-41ce-bd21-2597dcfbd9e5)) + (fp_line (start -3.7 -2.7) (end 3.7 -2.7) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 1c4461f1-45e2-4fe0-84ca-a719f3e71670)) + (fp_line (start -3.7 2.7) (end -3.7 -2.7) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 4cacb68e-f8ab-49b3-bc98-2896b6b49909)) + (fp_line (start 3.7 -2.7) (end 3.7 2.7) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 5e4799ab-3b82-49d8-a492-985500363a5a)) + (fp_line (start 3.7 2.7) (end -3.7 2.7) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 59242cf0-9a12-4ec4-8bf1-48da3b510dc1)) + (fp_line (start -1.95 -2.45) (end -1.95 1.475) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 58827500-8b8b-4d7a-ad63-22d411441f6e)) + (fp_line (start -1.95 1.475) (end -0.975 2.45) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 39ab9b07-cb8e-4607-9dda-bc200712e963)) + (fp_line (start -0.975 2.45) (end 1.95 2.45) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp ad2e7d2a-da97-43e5-89cd-9e755b2155be)) + (fp_line (start 1.95 -2.45) (end -1.95 -2.45) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 1be5ec0e-e0b5-40b9-819f-115c58357893)) + (fp_line (start 1.95 2.45) (end 1.95 -2.45) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp b03f2cd1-f4ca-454e-9237-7bc55b1f9020)) + (pad "1" smd roundrect (at -2.475 1.905 180) (size 1.95 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "S") (pintype "passive") (tstamp 4535b22a-9b94-49f7-9728-ca575e96f4cf)) + (pad "2" smd roundrect (at -2.475 0.635 180) (size 1.95 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 63 "/EN_PA") (pinfunction "G") (pintype "input") (tstamp a9cfaf97-923d-4f1c-84ea-2a6ab4b3c20b)) + (pad "3" smd roundrect (at -2.475 -0.635 180) (size 1.95 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 1 "+BATT") (pinfunction "1") (pintype "passive") (tstamp 13f842b1-2e25-42a9-992d-9e4669748b1c)) + (pad "4" smd roundrect (at -2.475 -1.905 180) (size 1.95 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 64 "Net-(Q3B-G)") (pinfunction "G") (pintype "input") (tstamp 64a74df2-9d0a-4b1e-aeb0-72815f04a2ca)) + (pad "5" smd roundrect (at 2.475 -1.905 180) (size 1.95 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 9 "/GATE_SUPPLY") (pinfunction "D") (pintype "passive") (tstamp 0c02af6b-a0b2-4a76-84c0-c219408d4f62)) + (pad "6" smd roundrect (at 2.475 -0.635 180) (size 1.95 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 9 "/GATE_SUPPLY") (pinfunction "D") (pintype "passive") (tstamp ad11428b-5e09-4f12-b0b6-a7ea8f4a7d7a)) + (pad "7" smd roundrect (at 2.475 0.635 180) (size 1.95 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 64 "Net-(Q3B-G)") (pinfunction "D") (pintype "passive") (tstamp 736883ab-c364-4efb-9b6b-608e9e7ad280)) + (pad "8" smd roundrect (at 2.475 1.905 180) (size 1.95 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 64 "Net-(Q3B-G)") (pinfunction "D") (pintype "passive") (tstamp 434e73bf-f0eb-437b-a158-8679f3d89a10)) + (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/SOIC-8_3.9x4.9mm_P1.27mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "B.Cu") + (tstamp d35e82bb-2623-4914-adc6-c45721e04289) + (at 101.6 152.908 180) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Need_order" "0") + (property "Sheetfile" "control.kicad_sch") + (property "Sheetname" "Control") + (property "ki_description" "Resistor") + (property "ki_keywords" "R res resistor") + (path "/717d30dc-b4e1-489a-b7b3-52f4b7c65672/affaf5e0-43da-472f-b17d-f8116628e0eb") + (attr smd) + (fp_text reference "R40" (at 0 1.43 unlocked) (layer "B.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1)) (justify mirror)) + (tstamp 9960aaa9-85d1-4312-b7e4-0f51740852a7) + ) + (fp_text value "47k" (at 0 -1.43) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 214de624-86cd-4aa8-898f-56ff75ab155e) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp 592742d3-df35-417c-bf5f-d06e404250ad) + ) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp c02ebb64-1642-41e1-84d1-42c4dea11c44)) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 4c1f1e4d-038f-40ee-a99c-772f3bcd1d87)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 93830394-6eb5-4742-af7c-c274d5fa4661)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 5de654ed-8e35-4f40-94ad-0c8e497adcc6)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 83c45986-a91a-4d50-b05e-414eae42f806)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp e666145d-95d6-4e88-b438-c40811aaf195)) + (fp_line (start -0.8 -0.4125) (end -0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp a833d241-37fc-4597-806a-dafb67fb1c05)) + (fp_line (start -0.8 0.4125) (end 0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 1962592f-124e-4d4e-b912-b24f6c6189bf)) + (fp_line (start 0.8 -0.4125) (end -0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp b3dcbce7-bf3a-4fa1-b3c7-911360e31db9)) + (fp_line (start 0.8 0.4125) (end 0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 13d6b477-67e9-4697-bebb-224aea2f56f2)) + (pad "1" smd roundrect (at -0.9125 0 180) (size 0.975 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 50 "Net-(J11-DAT2)") (pintype "passive") (tstamp 528a2567-437c-4968-abca-56970fbc1beb)) + (pad "2" smd roundrect (at 0.9125 0 180) (size 0.975 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 5 "+3V3") (pintype "passive") (tstamp 7b38f49c-735a-4073-8d38-92b23091c9ed)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "B.Cu") + (tstamp fbe37b66-1fc0-4ca1-a3a5-1cf25c86953e) + (at 144.018 93.472) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Need_order" "0") + (property "Sheetfile" "tracker-kicad.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor") + (property "ki_keywords" "R res resistor") + (path "/6f91d617-3c1b-427d-a940-48869eb3dba8") + (attr smd) + (fp_text reference "R22" (at 0 1.43 180 unlocked) (layer "B.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.1)) (justify mirror)) + (tstamp 8f6ed436-ec52-430c-8a02-2a64b2a47943) + ) + (fp_text value "10k" (at 0 -1.43) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 9dc62148-e475-48de-adb7-e7e5e2d29e9f) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp e91f0be5-a586-497e-a2d5-79d45c8f2d14) + ) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 8bac4bd7-a9c7-4caa-8df1-1304174726f0)) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 4b4e06f4-72cd-4f3c-80a8-41118f8c7210)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp b248e06f-6120-4b0e-961d-d1c977af038c)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 939fd1fe-2084-4cb1-8794-0bb9103ac18f)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 84daf196-bc17-446e-b7ea-8a68bf5101ca)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 27baffad-cc43-42b1-95e7-1ea8e29444d0)) + (fp_line (start -0.8 -0.4125) (end -0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp a2a633e1-7811-4bcb-997f-45cc93b7ea9b)) + (fp_line (start -0.8 0.4125) (end 0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp ef0b5d88-1ce0-49fe-8009-2a69a4788f2b)) + (fp_line (start 0.8 -0.4125) (end -0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 625bb69a-074d-48e3-ae43-44bc29a2ee98)) + (fp_line (start 0.8 0.4125) (end 0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp b924b6f1-64db-4615-8372-a81e661ae9f5)) + (pad "1" smd roundrect (at -0.9125 0) (size 0.975 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp ace67aae-3ffb-4ed0-a70c-891f055ba1e8)) + (pad "2" smd roundrect (at 0.9125 0) (size 0.975 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 9 "/GATE_SUPPLY") (pintype "passive") (tstamp 28d50721-1db1-4fa6-9d51-ee23f4f8c97b)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (gr_poly + (pts + (xy 116.101714 83.43675) + (xy 116.095733 83.437206) + (xy 116.089836 83.437957) + (xy 116.08403 83.438996) + (xy 116.078323 83.440314) + (xy 116.072723 83.441906) + (xy 116.067237 83.443763) + (xy 116.061873 83.445878) + (xy 116.056638 83.448244) + (xy 116.051541 83.450853) + (xy 116.046588 83.453697) + (xy 116.041788 83.456771) + (xy 116.037147 83.460066) + (xy 116.032674 83.463574) + (xy 116.028376 83.467289) + (xy 116.024261 83.471203) + (xy 116.020336 83.475308) + (xy 116.01661 83.479598) + (xy 116.013089 83.484065) + (xy 116.009781 83.488701) + (xy 116.006694 83.4935) + (xy 116.003836 83.498453) + (xy 116.001213 83.503554) + (xy 115.998835 83.508794) + (xy 115.996707 83.514167) + (xy 115.994839 83.519666) + (xy 115.993237 83.525282) + (xy 115.99191 83.531009) + (xy 115.990864 83.536839) + (xy 115.990107 83.542765) + (xy 115.989648 83.548779) + (xy 115.989493 83.554874) + (xy 115.989648 83.560969) + (xy 115.990107 83.566984) + (xy 115.990864 83.572909) + (xy 115.99191 83.578739) + (xy 115.993237 83.584466) + (xy 115.994839 83.590083) + (xy 115.996708 83.595581) + (xy 115.998835 83.600955) + (xy 116.001214 83.606195) + (xy 116.003836 83.611296) + (xy 116.006694 83.616249) + (xy 116.009781 83.621048) + (xy 116.013089 83.625684) + (xy 116.01661 83.630151) + (xy 116.020337 83.634441) + (xy 116.024262 83.638546) + (xy 116.028377 83.64246) + (xy 116.032675 83.646175) + (xy 116.037148 83.649683) + (xy 116.041788 83.652978) + (xy 116.046589 83.656052) + (xy 116.051541 83.658896) + (xy 116.056639 83.661505) + (xy 116.061874 83.663871) + (xy 116.067238 83.665986) + (xy 116.072724 83.667843) + (xy 116.078324 83.669435) + (xy 116.08403 83.670753) + (xy 116.089836 83.671792) + (xy 116.095733 83.672543) + (xy 116.101714 83.672999) + (xy 116.107771 83.673152) + (xy 116.113868 83.672999) + (xy 116.119886 83.672543) + (xy 116.125818 83.671792) + (xy 116.131656 83.670753) + (xy 116.137392 83.669435) + (xy 116.14302 83.667843) + (xy 116.148532 83.665986) + (xy 116.15392 83.663871) + (xy 116.159176 83.661505) + (xy 116.164294 83.658896) + (xy 116.169265 83.656052) + (xy 116.174082 83.652978) + (xy 116.178738 83.649683) + (xy 116.183224 83.646175) + (xy 116.187534 83.64246) + (xy 116.19166 83.638546) + (xy 116.195594 83.634441) + (xy 116.199329 83.630151) + (xy 116.202857 83.625684) + (xy 116.206171 83.621048) + (xy 116.209263 83.616249) + (xy 116.212126 83.611296) + (xy 116.214752 83.606195) + (xy 116.217133 83.600955) + (xy 116.219263 83.595581) + (xy 116.221133 83.590083) + (xy 116.222736 83.584466) + (xy 116.224064 83.578739) + (xy 116.225111 83.572909) + (xy 116.225868 83.566984) + (xy 116.226327 83.560969) + (xy 116.226482 83.554874) + (xy 116.226327 83.548779) + (xy 116.225868 83.542765) + (xy 116.225111 83.536839) + (xy 116.224064 83.531009) + (xy 116.222736 83.525282) + (xy 116.221133 83.519666) + (xy 116.219263 83.514168) + (xy 116.217133 83.508794) + (xy 116.214752 83.503554) + (xy 116.212126 83.498453) + (xy 116.209263 83.4935) + (xy 116.206171 83.488702) + (xy 116.202857 83.484065) + (xy 116.199329 83.479598) + (xy 116.195594 83.475309) + (xy 116.191659 83.471203) + (xy 116.187534 83.467289) + (xy 116.183224 83.463574) + (xy 116.178737 83.460066) + (xy 116.174081 83.456771) + (xy 116.169264 83.453698) + (xy 116.164293 83.450853) + (xy 116.159176 83.448244) + (xy 116.153919 83.445878) + (xy 116.148531 83.443763) + (xy 116.14302 83.441906) + (xy 116.137392 83.440314) + (xy 116.131655 83.438996) + (xy 116.125817 83.437957) + (xy 116.119886 83.437206) + (xy 116.113868 83.43675) + (xy 116.107771 83.436597) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "B.SilkS") (tstamp 00df181a-286f-4e40-9bde-0924dad3ba03)) + (gr_line (start 110.5258 83.706568) (end 110.530929 83.719557) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 015a9b1c-4396-4b29-9158-19f10f2e6f2d)) + (gr_line (start 121.62957 88.596633) (end 121.640401 88.598364) + (stroke (width 0.110049) (type solid)) (layer "B.SilkS") (tstamp 01d66f3a-81e1-44d2-b1fe-abbc812cabd5)) + (gr_line (start 110.942976 83.363666) (end 110.931002 83.356774) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 021501f2-6963-4a1a-a763-01f8fd1e13db)) + (gr_line (start 110.450361 86.165214) (end 110.448631 86.17605) + (stroke (width 0.110049) (type solid)) (layer "B.SilkS") (tstamp 02f2a9d1-a8b7-4922-83da-3595632cfcc1)) + (gr_poly + (pts + (xy 119.141355 89.271457) + (xy 119.131476 89.272208) + (xy 119.12174 89.273445) + (xy 119.11216 89.275155) + (xy 119.102748 89.277327) + (xy 119.093516 89.279948) + (xy 119.084477 89.283007) + (xy 119.075643 89.286489) + (xy 119.067026 89.290385) + (xy 119.058638 89.29468) + (xy 119.050492 89.299364) + (xy 119.042599 89.304423) + (xy 119.034973 89.309847) + (xy 119.027624 89.315621) + (xy 119.020566 89.321735) + (xy 119.01381 89.328176) + (xy 119.007369 89.334932) + (xy 119.001255 89.34199) + (xy 118.995481 89.349338) + (xy 118.990057 89.356965) + (xy 118.984998 89.364858) + (xy 118.980314 89.373004) + (xy 118.976018 89.381392) + (xy 118.972123 89.390009) + (xy 118.96864 89.398843) + (xy 118.965582 89.407882) + (xy 118.962961 89.417114) + (xy 118.960789 89.426526) + (xy 118.959078 89.436106) + (xy 118.957841 89.445842) + (xy 118.95709 89.455722) + (xy 118.956837 89.465733) + (xy 118.95709 89.475705) + (xy 118.957841 89.485548) + (xy 118.959078 89.49525) + (xy 118.960789 89.504798) + (xy 118.962961 89.51418) + (xy 118.965582 89.523384) + (xy 118.96864 89.532397) + (xy 118.972123 89.541207) + (xy 118.976018 89.549802) + (xy 118.980314 89.55817) + (xy 118.984998 89.566298) + (xy 118.990057 89.574174) + (xy 118.995481 89.581786) + (xy 119.001255 89.589121) + (xy 119.007369 89.596167) + (xy 119.01381 89.602912) + (xy 119.020566 89.609343) + (xy 119.027624 89.615449) + (xy 119.034973 89.621216) + (xy 119.042599 89.626633) + (xy 119.050492 89.631687) + (xy 119.058638 89.636367) + (xy 119.067026 89.640659) + (xy 119.075643 89.644551) + (xy 119.084477 89.648032) + (xy 119.093516 89.651088) + (xy 119.102748 89.653708) + (xy 119.11216 89.655879) + (xy 119.12174 89.657589) + (xy 119.131476 89.658826) + (xy 119.141355 89.659577) + (xy 119.151367 89.65983) + (xy 119.161378 89.659577) + (xy 119.171258 89.658826) + (xy 119.180994 89.657589) + (xy 119.190574 89.655879) + (xy 119.199986 89.653708) + (xy 119.209218 89.651088) + (xy 119.218257 89.648032) + (xy 119.227091 89.644551) + (xy 119.235708 89.640659) + (xy 119.244096 89.636367) + (xy 119.252242 89.631687) + (xy 119.260135 89.626633) + (xy 119.267762 89.621216) + (xy 119.27511 89.615449) + (xy 119.282168 89.609343) + (xy 119.288924 89.602912) + (xy 119.295365 89.596167) + (xy 119.301479 89.589121) + (xy 119.307253 89.581786) + (xy 119.312677 89.574174) + (xy 119.317736 89.566298) + (xy 119.32242 89.55817) + (xy 119.326715 89.549802) + (xy 119.330611 89.541207) + (xy 119.334094 89.532397) + (xy 119.337152 89.523384) + (xy 119.339773 89.51418) + (xy 119.341945 89.504798) + (xy 119.343655 89.49525) + (xy 119.344892 89.485548) + (xy 119.345643 89.475705) + (xy 119.345896 89.465733) + (xy 119.345643 89.455722) + (xy 119.344892 89.445842) + (xy 119.343655 89.436106) + (xy 119.341945 89.426526) + (xy 119.339773 89.417114) + (xy 119.337152 89.407882) + (xy 119.334094 89.398843) + (xy 119.330611 89.390009) + (xy 119.326715 89.381392) + (xy 119.32242 89.373004) + (xy 119.317736 89.364858) + (xy 119.312677 89.356965) + (xy 119.307253 89.349338) + (xy 119.301479 89.34199) + (xy 119.295365 89.334932) + (xy 119.288924 89.328176) + (xy 119.282168 89.321735) + (xy 119.27511 89.315621) + (xy 119.267762 89.309847) + (xy 119.260135 89.304423) + (xy 119.252242 89.299364) + (xy 119.244096 89.29468) + (xy 119.235708 89.290385) + (xy 119.227091 89.286489) + (xy 119.218257 89.283007) + (xy 119.209218 89.279948) + (xy 119.199986 89.277327) + (xy 119.190574 89.275155) + (xy 119.180994 89.273445) + (xy 119.171258 89.272208) + (xy 119.161378 89.271457) + (xy 119.151367 89.271204) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "B.SilkS") (tstamp 04182016-fef4-41f5-af83-09c29dd679cd)) + (gr_line (start 110.751194 83.890821) (end 110.765524 83.892636) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 045fd884-7c9a-48df-bce8-05243cb3e74c)) + (gr_line (start 115.678596 79.603272) (end 115.67063 79.592059) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 08b1f17f-f00d-4520-a9d3-ddd9fc2e4584)) + (gr_line (start 115.601398 79.525998) (end 115.589797 79.518553) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 0952d0f2-0c81-41ff-ae63-7fed88ecfebc)) + (gr_line (start 115.67063 79.592059) (end 115.662148 79.581254) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 09626e8c-1256-42a9-8a9e-32dd629e3970)) + (gr_line (start 115.653166 79.9554) (end 115.662148 79.945028) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 098977c9-8d4f-4bd5-a2df-e8d965432045)) + (gr_line (start 110.906011 83.871669) (end 110.918674 83.865949) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 0a171aba-c502-4ffc-b661-bc30831ad761)) + (gr_line (start 115.601398 80.000219) (end 115.612607 79.992253) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 0ad11802-fd5d-4aaf-b35e-65fd82de8ed6)) + (gr_line (start 110.200377 87.108843) (end 108.251611 92.375456) + (stroke (width 0.110049) (type solid)) (layer "B.SilkS") (tstamp 0c7a4d69-fb52-46e4-b0f7-62a3bae75808)) + (gr_line (start 115.305035 79.511661) (end 115.293061 79.518553) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 0ce54189-9dd4-429c-bac9-29a96b87f80b)) + (gr_line (start 110.549886 83.459988) (end 110.54299 83.471963) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 0db2db60-4049-40c9-9760-320f720180d0)) + (gr_line (start 115.239154 79.560939) (end 115.229692 79.570874) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 0e25c7a9-a1c9-462a-b6c3-56c54ea43ef6)) + (gr_line (start 114.51557 90.840009) (end 113.034281 87.672936) + (stroke (width 0.110049) (type solid)) (layer "B.SilkS") (tstamp 0e5bbaea-b6ef-4b1d-9302-e82f9d05c4e8)) + (gr_line (start 115.67063 79.934229) (end 115.678596 79.92302) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 1184c027-881c-440b-827c-1b5935f4200c)) + (gr_line (start 110.751194 83.325517) (end 110.737092 83.328036) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 123c4138-0a13-4f42-bf61-26499cade563)) + (gr_line (start 115.714538 79.848162) (end 115.718386 79.834585) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 13d277f4-f14b-4c78-b061-00a49a6bf081)) + (gr_line (start 110.824001 83.323696) (end 110.809496 83.32259) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 1483f2b0-abf3-4d95-bc19-9344ddfe0a65)) + (gr_line (start 111.046189 83.471963) (end 111.039297 83.459988) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 1502c610-91e0-4caf-8489-1134f21fc987)) + (gr_line (start 110.965792 83.37909) (end 110.954579 83.371111) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 157361b5-9688-4405-ae5e-5f0032e130aa)) + (gr_line (start 115.189952 79.899445) (end 115.19683 79.911419) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 16aa7c6a-edf4-4cfa-87e8-41226e1f9287)) + (gr_line (start 115.633777 79.974789) (end 115.643703 79.965326) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 16fdf209-a51a-45b6-b4e6-31d2df9c942b)) + (gr_line (start 110.623466 83.837366) (end 110.634694 83.845331) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 170d17c8-2794-43e0-94e7-c8f533790b10)) + (gr_line (start 110.809496 83.893739) (end 110.824001 83.892636) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 18587c0a-46d8-4083-862c-b1444729f228)) + (gr_poly + (pts + (xy 122.026232 88.504983) + (xy 122.018339 88.505585) + (xy 122.010557 88.506576) + (xy 122.002896 88.507946) + (xy 121.995367 88.509686) + (xy 121.987979 88.511786) + (xy 121.980742 88.514236) + (xy 121.973667 88.517027) + (xy 121.966763 88.520148) + (xy 121.96004 88.52359) + (xy 121.953509 88.527343) + (xy 121.947179 88.531397) + (xy 121.941061 88.535744) + (xy 121.935163 88.540372) + (xy 121.929498 88.545272) + (xy 121.924073 88.550434) + (xy 121.9189 88.555849) + (xy 121.913988 88.561507) + (xy 121.909347 88.567398) + (xy 121.904988 88.573513) + (xy 121.90092 88.57984) + (xy 121.897153 88.586372) + (xy 121.893698 88.593098) + (xy 121.890564 88.600008) + (xy 121.887761 88.607093) + (xy 121.885299 88.614342) + (xy 121.883189 88.621746) + (xy 121.88144 88.629296) + (xy 121.880062 88.636981) + (xy 121.879066 88.644792) + (xy 121.878461 88.652719) + (xy 121.878257 88.660752) + (xy 121.878461 88.668747) + (xy 121.879066 88.67664) + (xy 121.880062 88.684422) + (xy 121.88144 88.692083) + (xy 121.883189 88.699612) + (xy 121.885299 88.707) + (xy 121.887761 88.714237) + (xy 121.890564 88.721312) + (xy 121.893698 88.728216) + (xy 121.897153 88.734938) + (xy 121.90092 88.741469) + (xy 121.904988 88.747799) + (xy 121.909347 88.753918) + (xy 121.913988 88.759815) + (xy 121.9189 88.765481) + (xy 121.924073 88.770905) + (xy 121.929498 88.776078) + (xy 121.935163 88.78099) + (xy 121.941061 88.785631) + (xy 121.947179 88.78999) + (xy 121.953509 88.794058) + (xy 121.96004 88.797824) + (xy 121.966763 88.80128) + (xy 121.973667 88.804414) + (xy 121.980742 88.807217) + (xy 121.987979 88.809678) + (xy 121.995367 88.811788) + (xy 122.002896 88.813537) + (xy 122.010557 88.814915) + (xy 122.018339 88.815912) + (xy 122.026232 88.816517) + (xy 122.034227 88.816721) + (xy 122.04226 88.816517) + (xy 122.050187 88.815912) + (xy 122.057998 88.814915) + (xy 122.065683 88.813537) + (xy 122.073232 88.811788) + (xy 122.080637 88.809678) + (xy 122.087886 88.807217) + (xy 122.094971 88.804414) + (xy 122.101881 88.80128) + (xy 122.108606 88.797824) + (xy 122.115138 88.794058) + (xy 122.121466 88.78999) + (xy 122.12758 88.785631) + (xy 122.133471 88.78099) + (xy 122.139129 88.776078) + (xy 122.144544 88.770905) + (xy 122.149707 88.765481) + (xy 122.154607 88.759815) + (xy 122.159235 88.753918) + (xy 122.163581 88.747799) + (xy 122.167635 88.741469) + (xy 122.171389 88.734938) + (xy 122.174831 88.728216) + (xy 122.177952 88.721312) + (xy 122.180742 88.714237) + (xy 122.183192 88.707) + (xy 122.185292 88.699612) + (xy 122.187032 88.692083) + (xy 122.188403 88.684422) + (xy 122.189394 88.67664) + (xy 122.189995 88.668747) + (xy 122.190198 88.660752) + (xy 122.189995 88.652719) + (xy 122.189394 88.644792) + (xy 122.188403 88.636981) + (xy 122.187032 88.629296) + (xy 122.185292 88.621746) + (xy 122.183192 88.614342) + (xy 122.180742 88.607093) + (xy 122.177952 88.600008) + (xy 122.174831 88.593098) + (xy 122.171389 88.586372) + (xy 122.167635 88.57984) + (xy 122.163581 88.573513) + (xy 122.159235 88.567398) + (xy 122.154607 88.561507) + (xy 122.149707 88.555849) + (xy 122.144544 88.550434) + (xy 122.139129 88.545272) + (xy 122.133471 88.540372) + (xy 122.12758 88.535744) + (xy 122.121466 88.531397) + (xy 122.115138 88.527343) + (xy 122.108606 88.52359) + (xy 122.101881 88.520148) + (xy 122.094971 88.517027) + (xy 122.087886 88.514236) + (xy 122.080637 88.511786) + (xy 122.073232 88.509686) + (xy 122.065683 88.507946) + (xy 122.057998 88.506576) + (xy 122.050187 88.505585) + (xy 122.04226 88.504983) + (xy 122.034227 88.504781) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "B.SilkS") (tstamp 189e389a-6734-4648-bd0e-cff1893685a7)) + (gr_poly + (pts + (xy 112.862135 87.172287) + (xy 112.854725 87.172851) + (xy 112.847421 87.173781) + (xy 112.840234 87.175065) + (xy 112.833173 87.176697) + (xy 112.826246 87.178666) + (xy 112.819463 87.180962) + (xy 112.812834 87.183578) + (xy 112.806367 87.186504) + (xy 112.800072 87.18973) + (xy 112.793958 87.193247) + (xy 112.788034 87.197046) + (xy 112.782309 87.201118) + (xy 112.776793 87.205454) + (xy 112.771494 87.210045) + (xy 112.766422 87.214881) + (xy 112.761586 87.219952) + (xy 112.756996 87.225251) + (xy 112.75266 87.230768) + (xy 112.748588 87.236492) + (xy 112.744788 87.242417) + (xy 112.741271 87.248531) + (xy 112.738045 87.254826) + (xy 112.73512 87.261293) + (xy 112.732504 87.267922) + (xy 112.730207 87.274704) + (xy 112.728238 87.281631) + (xy 112.726607 87.288692) + (xy 112.725322 87.295879) + (xy 112.724393 87.303183) + (xy 112.723829 87.310594) + (xy 112.723639 87.318103) + (xy 112.723829 87.32565) + (xy 112.724393 87.333094) + (xy 112.725322 87.340426) + (xy 112.726607 87.347637) + (xy 112.728238 87.354719) + (xy 112.730207 87.361662) + (xy 112.732504 87.368457) + (xy 112.73512 87.375096) + (xy 112.738045 87.381568) + (xy 112.741271 87.387867) + (xy 112.744788 87.393981) + (xy 112.748588 87.399904) + (xy 112.75266 87.405624) + (xy 112.756996 87.411134) + (xy 112.761586 87.416425) + (xy 112.766422 87.421487) + (xy 112.771494 87.426312) + (xy 112.776793 87.430891) + (xy 112.782309 87.435214) + (xy 112.788034 87.439273) + (xy 112.793958 87.443059) + (xy 112.800072 87.446563) + (xy 112.806367 87.449776) + (xy 112.812834 87.452688) + (xy 112.819463 87.455291) + (xy 112.826246 87.457577) + (xy 112.833173 87.459535) + (xy 112.840234 87.461158) + (xy 112.847421 87.462435) + (xy 112.854725 87.463359) + (xy 112.862135 87.463919) + (xy 112.869644 87.464108) + (xy 112.877153 87.463919) + (xy 112.884564 87.463359) + (xy 112.891868 87.462435) + (xy 112.899055 87.461158) + (xy 112.906116 87.459535) + (xy 112.913043 87.457577) + (xy 112.919825 87.455291) + (xy 112.926455 87.452688) + (xy 112.932922 87.449776) + (xy 112.939217 87.446563) + (xy 112.945331 87.443059) + (xy 112.951255 87.439273) + (xy 112.95698 87.435214) + (xy 112.962496 87.430891) + (xy 112.967795 87.426312) + (xy 112.972867 87.421487) + (xy 112.977703 87.416425) + (xy 112.982293 87.411134) + (xy 112.986629 87.405624) + (xy 112.990702 87.399904) + (xy 112.994501 87.393981) + (xy 112.998018 87.387867) + (xy 113.001244 87.381568) + (xy 113.00417 87.375096) + (xy 113.006785 87.368457) + (xy 113.009082 87.361662) + (xy 113.011051 87.354719) + (xy 113.012682 87.347637) + (xy 113.013967 87.340426) + (xy 113.014896 87.333094) + (xy 113.015461 87.32565) + (xy 113.015651 87.318103) + (xy 113.015461 87.310594) + (xy 113.014896 87.303183) + (xy 113.013967 87.295879) + (xy 113.012682 87.288692) + (xy 113.011051 87.281631) + (xy 113.009082 87.274704) + (xy 113.006785 87.267922) + (xy 113.00417 87.261293) + (xy 113.001244 87.254826) + (xy 112.998018 87.248531) + (xy 112.994501 87.242417) + (xy 112.990702 87.236492) + (xy 112.986629 87.230768) + (xy 112.982293 87.225251) + (xy 112.977703 87.219952) + (xy 112.972867 87.214881) + (xy 112.967795 87.210045) + (xy 112.962496 87.205454) + (xy 112.95698 87.201118) + (xy 112.951255 87.197046) + (xy 112.945331 87.193247) + (xy 112.939217 87.18973) + (xy 112.932922 87.186504) + (xy 112.926455 87.183578) + (xy 112.919825 87.180962) + (xy 112.913043 87.178666) + (xy 112.906116 87.176697) + (xy 112.899055 87.175065) + (xy 112.891868 87.173781) + (xy 112.884564 87.172851) + (xy 112.877153 87.172287) + (xy 112.869644 87.172097) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "B.SilkS") (tstamp 18b09ae7-65f3-4c7e-853c-f3f44d8f69ca)) + (gr_line (start 111.080373 83.59347) (end 111.079267 83.578965) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 18f6590f-c8c1-4f9a-b7c2-c1b10a602e2d)) + (gr_poly + (pts + (xy 108.099608 92.677607) + (xy 108.092839 92.678122) + (xy 108.08617 92.678971) + (xy 108.079609 92.680146) + (xy 108.073165 92.681637) + (xy 108.066846 92.683436) + (xy 108.06066 92.685535) + (xy 108.054616 92.687925) + (xy 108.048721 92.690599) + (xy 108.042985 92.693547) + (xy 108.037415 92.696762) + (xy 108.032019 92.700234) + (xy 108.026806 92.703956) + (xy 108.021784 92.707918) + (xy 108.016962 92.712114) + (xy 108.012347 92.716533) + (xy 108.007947 92.721168) + (xy 108.003772 92.726011) + (xy 107.999829 92.731053) + (xy 107.996127 92.736285) + (xy 107.992673 92.741699) + (xy 107.989476 92.747287) + (xy 107.986545 92.75304) + (xy 107.983887 92.758951) + (xy 107.981511 92.76501) + (xy 107.979425 92.771208) + (xy 107.977638 92.777539) + (xy 107.976156 92.783993) + (xy 107.97499 92.790562) + (xy 107.974147 92.797237) + (xy 107.973635 92.80401) + (xy 107.973462 92.810873) + (xy 107.973635 92.817696) + (xy 107.974147 92.824433) + (xy 107.97499 92.831073) + (xy 107.976156 92.83761) + (xy 107.977638 92.844034) + (xy 107.979425 92.850337) + (xy 107.981511 92.85651) + (xy 107.983887 92.862545) + (xy 107.986545 92.868434) + (xy 107.989476 92.874167) + (xy 107.992673 92.879737) + (xy 107.996127 92.885135) + (xy 107.999829 92.890352) + (xy 108.003772 92.89538) + (xy 108.007947 92.900211) + (xy 108.012347 92.904835) + (xy 108.016962 92.909246) + (xy 108.021784 92.913433) + (xy 108.026806 92.917388) + (xy 108.032019 92.921104) + (xy 108.037415 92.924571) + (xy 108.042985 92.927782) + (xy 108.048721 92.930726) + (xy 108.054616 92.933397) + (xy 108.06066 92.935786) + (xy 108.066846 92.937883) + (xy 108.073165 92.939681) + (xy 108.079609 92.941171) + (xy 108.08617 92.942345) + (xy 108.092839 92.943194) + (xy 108.099608 92.94371) + (xy 108.10647 92.943884) + (xy 108.113333 92.94371) + (xy 108.120106 92.943194) + (xy 108.126781 92.942345) + (xy 108.13335 92.941171) + (xy 108.139804 92.939681) + (xy 108.146135 92.937883) + (xy 108.152334 92.935786) + (xy 108.158392 92.933397) + (xy 108.164303 92.930726) + (xy 108.170056 92.927782) + (xy 108.175644 92.924571) + (xy 108.181058 92.921104) + (xy 108.186291 92.917388) + (xy 108.191332 92.913433) + (xy 108.196175 92.909246) + (xy 108.20081 92.904835) + (xy 108.20523 92.900211) + (xy 108.209425 92.89538) + (xy 108.213388 92.890352) + (xy 108.21711 92.885135) + (xy 108.220582 92.879737) + (xy 108.223796 92.874167) + (xy 108.226745 92.868434) + (xy 108.229418 92.862545) + (xy 108.231809 92.85651) + (xy 108.233908 92.850337) + (xy 108.235707 92.844034) + (xy 108.237198 92.83761) + (xy 108.238372 92.831073) + (xy 108.239222 92.824433) + (xy 108.239737 92.817696) + (xy 108.239911 92.810873) + (xy 108.239737 92.80401) + (xy 108.239222 92.797237) + (xy 108.238372 92.790562) + (xy 108.237198 92.783993) + (xy 108.235707 92.777539) + (xy 108.233908 92.771208) + (xy 108.231809 92.76501) + (xy 108.229418 92.758951) + (xy 108.226745 92.75304) + (xy 108.223796 92.747287) + (xy 108.220582 92.741699) + (xy 108.21711 92.736285) + (xy 108.213388 92.731053) + (xy 108.209425 92.726011) + (xy 108.20523 92.721168) + (xy 108.20081 92.716533) + (xy 108.196175 92.712114) + (xy 108.191332 92.707918) + (xy 108.186291 92.703956) + (xy 108.181058 92.700234) + (xy 108.175644 92.696762) + (xy 108.170056 92.693547) + (xy 108.164303 92.690599) + (xy 108.158392 92.687925) + (xy 108.152334 92.685535) + (xy 108.146135 92.683436) + (xy 108.139804 92.681637) + (xy 108.13335 92.680146) + (xy 108.126781 92.678971) + (xy 108.120106 92.678122) + (xy 108.113333 92.677607) + (xy 108.10647 92.677433) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "B.SilkS") (tstamp 1945175b-d000-4eb6-a303-142a15e79df7)) + (gr_line (start 111.066453 82.516369) (end 111.068616 82.505538) + (stroke (width 0.110049) (type solid)) (layer "B.SilkS") (tstamp 19fce1c0-0491-4ec2-9c8d-fd4e703107ac)) + (gr_line (start 111.058244 83.496954) (end 111.052511 83.484291) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 1b21492f-afc8-429d-8b45-025839fc10d8)) + (gr_line (start 110.709649 83.335092) (end 110.696344 83.339593) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 1b64ea2b-707a-40b3-b3a1-e2ab8484aa92)) + (gr_line (start 110.592309 83.406052) (end 110.582825 83.415987) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 1b927e9e-af51-4784-9878-fe8b40f76d41)) + (gr_line (start 115.330036 80.026556) (end 115.343026 80.03167) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 1bda6c1b-7191-43e1-842d-590d3bfdeb20)) + (gr_line (start 115.725901 79.792323) (end 115.727004 79.777785) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 1c32a60e-b54a-4024-9295-37da388bcd59)) + (gr_line (start 115.147245 91.081761) (end 115.137287 91.086097) + (stroke (width 0.110049) (type solid)) (layer "B.SilkS") (tstamp 1c752d5b-4afc-4ce9-97c8-9e68a71a86de)) + (gr_line (start 110.89303 83.876783) (end 110.906011 83.871669) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 1dbbb837-9ce1-4782-b821-53ae791c1e10)) + (gr_line (start 115.16832 79.848162) (end 115.17281 79.861455) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 1e5dc3b7-c9da-4786-8a64-0f2261a20fed)) + (gr_line (start 115.177924 79.651841) (end 115.17281 79.664821) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 1e824b3d-52e1-469c-83f3-4531f099f9c6)) + (gr_line (start 111.039297 83.459988) (end 111.031853 83.448385) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 1f2a87bf-4db7-468a-aaf1-70c4a6dfc804)) + (gr_line (start 110.86619 83.331233) (end 110.852366 83.328036) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 1f3a49d8-5a35-4c40-815c-6c3099f3e46c)) + (gr_line (start 110.809496 83.32259) (end 110.794801 83.322217) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 1ff5a7bf-9859-432a-a7b9-6eaf3040db17)) + (gr_line (start 110.524879 80.09059) (end 110.521418 80.079759) + (stroke (width 0.110049) (type solid)) (layer "B.SilkS") (tstamp 20572b74-202e-4945-ad61-9bd92fc352c5)) + (gr_line (start 115.356318 79.489979) (end 115.343026 79.49448) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 205bc223-bfef-4f9c-9b8c-c73233d8b1ba)) + (gr_line (start 115.699214 79.639177) (end 115.692906 79.626849) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 219ffb3e-c5ea-4f4e-a224-f59175a41014)) + (gr_poly + (pts + (xy 120.187201 83.270426) + (xy 120.179437 83.271013) + (xy 120.171787 83.27198) + (xy 120.164263 83.273316) + (xy 120.156872 83.275014) + (xy 120.149626 83.277063) + (xy 120.142532 83.279454) + (xy 120.135601 83.282177) + (xy 120.128842 83.285223) + (xy 120.122265 83.288583) + (xy 120.115878 83.292247) + (xy 120.109692 83.296206) + (xy 120.103715 83.30045) + (xy 120.097958 83.30497) + (xy 120.092429 83.309756) + (xy 120.087138 83.314799) + (xy 120.082095 83.32009) + (xy 120.077308 83.325619) + (xy 120.072789 83.331376) + (xy 120.068545 83.337353) + (xy 120.064586 83.343539) + (xy 120.060922 83.349926) + (xy 120.057562 83.356503) + (xy 120.054516 83.363262) + (xy 120.051792 83.370193) + (xy 120.049401 83.377287) + (xy 120.047353 83.384533) + (xy 120.045655 83.391924) + (xy 120.044318 83.399448) + (xy 120.043352 83.407097) + (xy 120.042765 83.414862) + (xy 120.042567 83.422733) + (xy 120.042765 83.430603) + (xy 120.043352 83.438368) + (xy 120.044318 83.446017) + (xy 120.045655 83.453542) + (xy 120.047353 83.460932) + (xy 120.049401 83.468179) + (xy 120.051792 83.475272) + (xy 120.054516 83.482203) + (xy 120.057562 83.488962) + (xy 120.060922 83.49554) + (xy 120.064586 83.501927) + (xy 120.068545 83.508113) + (xy 120.072789 83.51409) + (xy 120.077308 83.519847) + (xy 120.082095 83.525376) + (xy 120.087138 83.530667) + (xy 120.092429 83.53571) + (xy 120.097958 83.540496) + (xy 120.103715 83.545016) + (xy 120.109692 83.54926) + (xy 120.115878 83.553219) + (xy 120.122265 83.556883) + (xy 120.128842 83.560243) + (xy 120.135601 83.563289) + (xy 120.142532 83.566013) + (xy 120.149626 83.568403) + (xy 120.156872 83.570452) + (xy 120.164263 83.57215) + (xy 120.171787 83.573486) + (xy 120.179437 83.574453) + (xy 120.187201 83.57504) + (xy 120.195072 83.575238) + (xy 120.202943 83.57504) + (xy 120.210707 83.574453) + (xy 120.218357 83.573486) + (xy 120.225881 83.57215) + (xy 120.233272 83.570452) + (xy 120.240518 83.568403) + (xy 120.247612 83.566013) + (xy 120.254543 83.563289) + (xy 120.261302 83.560243) + (xy 120.26788 83.556883) + (xy 120.274266 83.553219) + (xy 120.280453 83.54926) + (xy 120.286429 83.545016) + (xy 120.292187 83.540496) + (xy 120.297716 83.53571) + (xy 120.303006 83.530667) + (xy 120.30805 83.525376) + (xy 120.312836 83.519847) + (xy 120.317356 83.51409) + (xy 120.3216 83.508113) + (xy 120.325559 83.501927) + (xy 120.329223 83.49554) + (xy 120.332583 83.488962) + (xy 120.335629 83.482203) + (xy 120.338352 83.475272) + (xy 120.340743 83.468179) + (xy 120.342792 83.460932) + (xy 120.34449 83.453542) + (xy 120.345826 83.446017) + (xy 120.346793 83.438368) + (xy 120.34738 83.430603) + (xy 120.347577 83.422733) + (xy 120.34738 83.414862) + (xy 120.346793 83.407097) + (xy 120.345826 83.399448) + (xy 120.34449 83.391924) + (xy 120.342792 83.384533) + (xy 120.340743 83.377287) + (xy 120.338352 83.370193) + (xy 120.335629 83.363262) + (xy 120.332583 83.356503) + (xy 120.329223 83.349926) + (xy 120.325559 83.343539) + (xy 120.3216 83.337353) + (xy 120.317356 83.331376) + (xy 120.312836 83.325619) + (xy 120.308049 83.32009) + (xy 120.303006 83.314799) + (xy 120.297715 83.309756) + (xy 120.292187 83.30497) + (xy 120.286429 83.30045) + (xy 120.280452 83.296206) + (xy 120.274266 83.292247) + (xy 120.267879 83.288583) + (xy 120.261302 83.285223) + (xy 120.254543 83.282177) + (xy 120.247612 83.279454) + (xy 120.240518 83.277063) + (xy 120.233271 83.275014) + (xy 120.225881 83.273316) + (xy 120.218357 83.27198) + (xy 120.210707 83.271013) + (xy 120.202943 83.270426) + (xy 120.195072 83.270229) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "B.SilkS") (tstamp 21a98a99-32d6-407a-8d70-fe37dd452d23)) + (gr_line (start 115.177924 79.874444) (end 115.183644 79.887114) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 21bf200e-3ac3-42e8-8083-fe798c13139c)) + (gr_line (start 110.612647 83.387585) (end 110.602255 83.396578) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 21c85861-5a89-464c-8e19-12146fb720ab)) + (gr_line (start 115.24908 79.551465) (end 115.239154 79.560939) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 225e322a-fde9-4119-971b-5036b46cbb3f)) + (gr_line (start 111.010998 81.661996) (end 111.014026 81.672394) + (stroke (width 0.110049) (type solid)) (layer "B.SilkS") (tstamp 2264d98d-6299-4164-9877-fefb81f7c122)) + (gr_line (start 110.557336 83.768133) (end 110.565321 83.779342) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 229fdb6b-d797-4e4e-9011-83d3dff3c49a)) + (gr_line (start 110.794801 83.322217) (end 110.780066 83.32259) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 24f05665-294d-40d6-8154-7b6a6742b719)) + (gr_line (start 111.080373 83.622898) (end 111.080746 83.608165) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 25d0c11a-74c6-4727-b0cb-487fb2f74118)) + (gr_poly + (pts + (xy 109.212634 76.948386) + (xy 109.205864 76.948902) + (xy 109.199195 76.949751) + (xy 109.192634 76.950925) + (xy 109.18619 76.952415) + (xy 109.17987 76.954213) + (xy 109.173685 76.95631) + (xy 109.16764 76.958699) + (xy 109.161746 76.961369) + (xy 109.156009 76.964314) + (xy 109.150439 76.967524) + (xy 109.145043 76.970991) + (xy 109.13983 76.974707) + (xy 109.134809 76.978662) + (xy 109.129986 76.982849) + (xy 109.125371 76.987259) + (xy 109.120972 76.991884) + (xy 109.116797 76.996714) + (xy 109.112854 77.001743) + (xy 109.109151 77.00696) + (xy 109.105698 77.012357) + (xy 109.102501 77.017927) + (xy 109.09957 77.02366) + (xy 109.096912 77.029549) + (xy 109.094536 77.035584) + (xy 109.09245 77.041757) + (xy 109.090662 77.04806) + (xy 109.089181 77.054484) + (xy 109.088015 77.06102) + (xy 109.087171 77.067661) + (xy 109.086659 77.074397) + (xy 109.086487 77.081221) + (xy 109.086659 77.088084) + (xy 109.087171 77.094857) + (xy 109.088015 77.101532) + (xy 109.089181 77.108101) + (xy 109.090662 77.114555) + (xy 109.09245 77.120886) + (xy 109.094536 77.127085) + (xy 109.096912 77.133144) + (xy 109.09957 77.139054) + (xy 109.102501 77.144807) + (xy 109.105698 77.150395) + (xy 109.109151 77.15581) + (xy 109.112854 77.161042) + (xy 109.116797 77.166084) + (xy 109.120972 77.170926) + (xy 109.125371 77.175562) + (xy 109.129986 77.179981) + (xy 109.134809 77.184177) + (xy 109.13983 77.188139) + (xy 109.145043 77.191861) + (xy 109.150439 77.195333) + (xy 109.156009 77.198548) + (xy 109.161746 77.201496) + (xy 109.16764 77.20417) + (xy 109.173685 77.20656) + (xy 109.17987 77.208659) + (xy 109.18619 77.210459) + (xy 109.192634 77.21195) + (xy 109.199195 77.213124) + (xy 109.205864 77.213973) + (xy 109.212634 77.214489) + (xy 109.219496 77.214663) + (xy 109.226359 77.214489) + (xy 109.233132 77.213973) + (xy 109.239807 77.213124) + (xy 109.246376 77.21195) + (xy 109.25283 77.210459) + (xy 109.25916 77.208659) + (xy 109.265359 77.20656) + (xy 109.271418 77.20417) + (xy 109.277328 77.201496) + (xy 109.283082 77.198548) + (xy 109.28867 77.195333) + (xy 109.294084 77.191861) + (xy 109.299316 77.188139) + (xy 109.304358 77.184177) + (xy 109.309201 77.179981) + (xy 109.313836 77.175562) + (xy 109.318255 77.170926) + (xy 109.322451 77.166084) + (xy 109.326414 77.161042) + (xy 109.330135 77.15581) + (xy 109.333608 77.150395) + (xy 109.336822 77.144807) + (xy 109.33977 77.139054) + (xy 109.342444 77.133144) + (xy 109.344835 77.127085) + (xy 109.346934 77.120886) + (xy 109.348733 77.114555) + (xy 109.350224 77.108101) + (xy 109.351398 77.101532) + (xy 109.352247 77.094857) + (xy 109.352763 77.088084) + (xy 109.352937 77.081221) + (xy 109.352763 77.074397) + (xy 109.352247 77.067661) + (xy 109.351398 77.06102) + (xy 109.350224 77.054484) + (xy 109.348733 77.04806) + (xy 109.346934 77.041757) + (xy 109.344835 77.035584) + (xy 109.342444 77.029549) + (xy 109.33977 77.02366) + (xy 109.336822 77.017927) + (xy 109.333608 77.012357) + (xy 109.330135 77.00696) + (xy 109.326414 77.001743) + (xy 109.322451 76.996714) + (xy 109.318255 76.991884) + (xy 109.313836 76.987259) + (xy 109.309201 76.982849) + (xy 109.304358 76.978662) + (xy 109.299316 76.974707) + (xy 109.294084 76.970991) + (xy 109.28867 76.967524) + (xy 109.283082 76.964314) + (xy 109.277328 76.961369) + (xy 109.271418 76.958699) + (xy 109.265359 76.95631) + (xy 109.25916 76.954213) + (xy 109.25283 76.952415) + (xy 109.246376 76.950925) + (xy 109.239807 76.949751) + (xy 109.233132 76.948902) + (xy 109.226359 76.948386) + (xy 109.219496 76.948213) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "B.SilkS") (tstamp 26f7f336-1762-4e4a-8965-818434fab679)) + (gr_line (start 115.539833 80.03167) (end 115.552822 80.026556) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 27997dd4-32d5-4b97-bdb4-dd77b7df37e5)) + (gr_line (start 110.517438 83.536776) (end 110.514239 83.5506) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 2a8905a9-89b4-4360-9457-6ab8475e0ed7)) + (gr_line (start 115.343026 79.49448) (end 115.330036 79.499606) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 2b08f42d-bba1-419b-853a-003c3ee81942)) + (gr_line (start 113.03905 87.682896) (end 113.034281 87.672936) + (stroke (width 0.110049) (type solid)) (layer "B.SilkS") (tstamp 2b33db72-5581-4ea6-b6db-fcdcb73eaf0b)) + (gr_line (start 115.718386 79.691662) (end 115.714538 79.678101) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 2dec509f-5a7b-4e51-945c-da0f4d0ef44b)) + (gr_line (start 110.780066 83.893739) (end 110.794801 83.89411) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 2e9f34b8-3cc3-49b8-96e3-9b3391d715cc)) + (gr_line (start 115.577823 80.014528) (end 115.589797 80.00765) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 2fdbe60d-7d2e-44d5-b348-a206cfae3973)) + (gr_line (start 115.727004 79.748356) (end 115.725901 79.733851) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 3150533c-5342-4120-821f-bb152813b182)) + (gr_line (start 121.640401 88.598364) (end 121.07934 88.509114) + (stroke (width 0.110049) (type solid)) (layer "B.SilkS") (tstamp 32f6ef21-89d0-4a7e-8337-7e395e5f998e)) + (gr_line (start 115.412158 80.047523) (end 115.426696 80.048626) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 33da9b19-efa6-4b1d-ad60-0b47395e3248)) + (gr_line (start 110.521418 80.07976) (end 111.014026 81.672394) + (stroke (width 0.110049) (type solid)) (layer "B.SilkS") (tstamp 34524518-6c8b-485c-b7cf-0fa587199792)) + (gr_line (start 114.772486 84.133263) (end 114.778121 84.124168) + (stroke (width 0.110049) (type solid)) (layer "B.SilkS") (tstamp 34966d8b-da49-4612-b20c-dacde95b85cb)) + (gr_line (start 110.634694 83.845331) (end 110.646313 83.852763) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 3520548d-a306-43bf-808b-7d2bb282c8cb)) + (gr_poly + (pts + (xy 116.199797 83.630322) + (xy 116.197405 83.630505) + (xy 116.195048 83.630807) + (xy 116.192727 83.631224) + (xy 116.190446 83.631753) + (xy 116.188209 83.632391) + (xy 116.186017 83.633136) + (xy 116.183874 83.633984) + (xy 116.181784 83.634932) + (xy 116.179748 83.635978) + (xy 116.177771 83.637117) + (xy 116.175854 83.638348) + (xy 116.174002 83.639667) + (xy 116.172216 83.641072) + (xy 116.170501 83.642558) + (xy 116.168859 83.644124) + (xy 116.167294 83.645766) + (xy 116.165807 83.647481) + (xy 116.164402 83.649266) + (xy 116.163083 83.651119) + (xy 116.161852 83.653035) + (xy 116.160712 83.655013) + (xy 116.159667 83.657048) + (xy 116.158718 83.659139) + (xy 116.15787 83.661282) + (xy 116.157126 83.663474) + (xy 116.156487 83.665711) + (xy 116.155958 83.667992) + (xy 116.155541 83.670313) + (xy 116.15524 83.672671) + (xy 116.155057 83.675063) + (xy 116.154995 83.677485) + (xy 116.155057 83.679908) + (xy 116.15524 83.682299) + (xy 116.155541 83.684657) + (xy 116.155958 83.686978) + (xy 116.156487 83.689258) + (xy 116.157126 83.691496) + (xy 116.15787 83.693688) + (xy 116.158718 83.69583) + (xy 116.159667 83.697921) + (xy 116.160712 83.699957) + (xy 116.161852 83.701934) + (xy 116.163083 83.703851) + (xy 116.164402 83.705703) + (xy 116.165807 83.707488) + (xy 116.167294 83.709204) + (xy 116.168859 83.710846) + (xy 116.170501 83.712411) + (xy 116.172216 83.713898) + (xy 116.174002 83.715303) + (xy 116.175854 83.716622) + (xy 116.177771 83.717853) + (xy 116.179748 83.718993) + (xy 116.181784 83.720038) + (xy 116.183874 83.720987) + (xy 116.186017 83.721835) + (xy 116.188209 83.72258) + (xy 116.190446 83.723218) + (xy 116.192727 83.723747) + (xy 116.195048 83.724164) + (xy 116.197405 83.724465) + (xy 116.199797 83.724649) + (xy 116.20222 83.72471) + (xy 116.204642 83.724649) + (xy 116.207034 83.724465) + (xy 116.209392 83.724164) + (xy 116.211713 83.723747) + (xy 116.213994 83.723218) + (xy 116.216232 83.72258) + (xy 116.218423 83.721835) + (xy 116.220566 83.720987) + (xy 116.222657 83.720038) + (xy 116.224693 83.718993) + (xy 116.22667 83.717853) + (xy 116.228587 83.716622) + (xy 116.230439 83.715303) + (xy 116.232224 83.713898) + (xy 116.23394 83.712411) + (xy 116.235582 83.710846) + (xy 116.237148 83.709204) + (xy 116.238634 83.707488) + (xy 116.240039 83.705703) + (xy 116.241358 83.703851) + (xy 116.242589 83.701934) + (xy 116.243729 83.699957) + (xy 116.244774 83.697921) + (xy 116.245723 83.69583) + (xy 116.246571 83.693688) + (xy 116.247315 83.691496) + (xy 116.247954 83.689258) + (xy 116.248483 83.686978) + (xy 116.2489 83.684657) + (xy 116.249201 83.682299) + (xy 116.249384 83.679908) + (xy 116.249446 83.677485) + (xy 116.249384 83.675063) + (xy 116.249201 83.672671) + (xy 116.2489 83.670313) + (xy 116.248483 83.667992) + (xy 116.247954 83.665711) + (xy 116.247315 83.663474) + (xy 116.246571 83.661282) + (xy 116.245722 83.659139) + (xy 116.244774 83.657048) + (xy 116.243729 83.655013) + (xy 116.242589 83.653035) + (xy 116.241358 83.651119) + (xy 116.240038 83.649266) + (xy 116.238634 83.647481) + (xy 116.237147 83.645766) + (xy 116.235581 83.644124) + (xy 116.233939 83.642558) + (xy 116.232224 83.641072) + (xy 116.230439 83.639667) + (xy 116.228586 83.638348) + (xy 116.22667 83.637117) + (xy 116.224692 83.635978) + (xy 116.222656 83.634932) + (xy 116.220566 83.633984) + (xy 116.218423 83.633136) + (xy 116.216231 83.632391) + (xy 116.213993 83.631753) + (xy 116.211712 83.631224) + (xy 116.209392 83.630807) + (xy 116.207034 83.630505) + (xy 116.204642 83.630322) + (xy 116.20222 83.630261) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "B.SilkS") (tstamp 353c2fab-aec1-46da-9c67-114ecf8cdd41)) + (gr_line (start 110.918674 83.865949) (end 110.931002 83.859641) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 35e90b85-c0fc-4623-81e0-f141c5b76fd1)) + (gr_line (start 115.456163 79.477477) (end 115.44143 79.477104) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 3736e1d1-269c-4e25-bcc2-b211d8f86520)) + (gr_line (start 115.212227 79.592059) (end 115.204262 79.603272) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 374ce295-5734-482f-9bcf-bc5250e81ed7)) + (gr_line (start 115.17281 79.861455) (end 115.177924 79.874444) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 3791e4bf-5dea-44ef-8262-626b4b339cc5)) + (gr_line (start 110.879751 83.335092) (end 110.86619 83.331233) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 3931daa9-f3e8-440e-949a-5e02d49871a8)) + (gr_line (start 110.582825 83.415987) (end 110.573824 83.426367) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 3a75c9d6-8b05-45e2-814b-8f0bc90d46c7)) + (gr_line (start 114.582295 83.547939) (end 114.592255 83.552275) + (stroke (width 0.110049) (type solid)) (layer "B.SilkS") (tstamp 3abaa557-17c7-4f7a-bd73-b0cbb539cd68)) + (gr_line (start 110.658306 83.356774) (end 110.646313 83.363666) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 3b976098-e331-4f75-abc4-ace59f7f7ef3)) + (gr_line (start 110.51172 83.651761) (end 110.514239 83.665854) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 3bf19539-a49f-4058-baea-0b5cc80df11d)) + (gr_line (start 115.485026 80.045708) (end 115.499119 80.043197) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 3c7c4062-c22e-4574-8015-f730b5e1801d)) + (gr_line (start 111.067871 83.693276) (end 111.07173 83.679698) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 3e549db1-962a-45db-b43f-15ea70e99859)) + (gr_line (start 110.517438 83.679698) (end 110.521297 83.693275) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 3eafa134-8073-4008-857e-1e7af14c8025)) + (gr_line (start 111.006386 83.800513) (end 111.015379 83.790141) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 3fcf517e-9c6b-4624-83e8-160408322616)) + (gr_line (start 111.031853 83.768133) (end 111.039297 83.756533) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 410fbe78-e4c8-4ab3-9799-5f0ac8902e43)) + (gr_line (start 115.17281 79.664821) (end 115.16832 79.678101) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 442b5306-45a5-4e33-be1f-03bf1e89fed4)) + (gr_line (start 115.692906 79.899445) (end 115.699214 79.887114) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 4710476b-4531-4445-a9b2-70c0b9f3fa2f)) + (gr_line (start 115.158772 79.719555) (end 115.156957 79.733851) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 473db0b1-10d8-4040-a526-399d9f58baaf)) + (gr_line (start 115.662148 79.945028) (end 115.67063 79.934229) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 4808db0d-9b51-40a6-b380-ea16aaf3ae33)) + (gr_line (start 110.996912 83.810439) (end 111.006386 83.800513) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 48701b5c-4b17-4c54-8cf2-7e161ce1c8e2)) + (gr_line (start 108.25507 92.365062) (end 108.251611 92.375456) + (stroke (width 0.110049) (type solid)) (layer "B.SilkS") (tstamp 48825b71-874a-4d24-ad7b-9b1a18ebfe3c)) + (gr_line (start 110.508793 83.59347) (end 110.50842 83.608165) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 491d8652-5283-47ac-ab66-cb33618dcecf)) + (gr_line (start 110.51172 83.564669) (end 110.509899 83.578965) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 49dd3ee7-6685-4fcd-bb1f-64761b3659fd)) + (gr_line (start 111.039297 83.756533) (end 111.046189 83.744558) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 4a03490b-c440-4b7a-8cbb-8929eafac5c8)) + (gr_poly + (pts + (xy 114.752195 91.105767) + (xy 114.745426 91.106283) + (xy 114.738756 91.107132) + (xy 114.732196 91.108306) + (xy 114.725752 91.109796) + (xy 114.719432 91.111594) + (xy 114.713247 91.113691) + (xy 114.707202 91.116079) + (xy 114.701308 91.11875) + (xy 114.695571 91.121695) + (xy 114.690001 91.124905) + (xy 114.684605 91.128372) + (xy 114.679393 91.132088) + (xy 114.674371 91.136043) + (xy 114.669548 91.14023) + (xy 114.664933 91.14464) + (xy 114.660534 91.149265) + (xy 114.656358 91.154095) + (xy 114.652415 91.159123) + (xy 114.648713 91.16434) + (xy 114.645259 91.169738) + (xy 114.642063 91.175308) + (xy 114.639131 91.181041) + (xy 114.636473 91.18693) + (xy 114.634097 91.192965) + (xy 114.632011 91.199138) + (xy 114.630224 91.20544) + (xy 114.628742 91.211864) + (xy 114.627576 91.218401) + (xy 114.626733 91.225042) + (xy 114.626221 91.231778) + (xy 114.626048 91.238601) + (xy 114.626221 91.245464) + (xy 114.626733 91.252238) + (xy 114.627576 91.258913) + (xy 114.628742 91.265482) + (xy 114.630224 91.271935) + (xy 114.632011 91.278266) + (xy 114.634097 91.284465) + (xy 114.636473 91.290524) + (xy 114.639131 91.296434) + (xy 114.642063 91.302187) + (xy 114.645259 91.307775) + (xy 114.648713 91.31319) + (xy 114.652415 91.318422) + (xy 114.656358 91.323464) + (xy 114.660534 91.328306) + (xy 114.664933 91.332942) + (xy 114.669548 91.337361) + (xy 114.674371 91.341556) + (xy 114.679393 91.345519) + (xy 114.684605 91.349241) + (xy 114.690001 91.352713) + (xy 114.695571 91.355928) + (xy 114.701308 91.358876) + (xy 114.707202 91.36155) + (xy 114.713247 91.36394) + (xy 114.719432 91.366039) + (xy 114.725752 91.367839) + (xy 114.732196 91.36933) + (xy 114.738756 91.370504) + (xy 114.745426 91.371353) + (xy 114.752195 91.371869) + (xy 114.759057 91.372042) + (xy 114.76592 91.371869) + (xy 114.772693 91.371353) + (xy 114.779368 91.370504) + (xy 114.785937 91.36933) + (xy 114.792391 91.367839) + (xy 114.798722 91.366039) + (xy 114.804921 91.36394) + (xy 114.810979 91.36155) + (xy 114.81689 91.358876) + (xy 114.822643 91.355928) + (xy 114.828231 91.352713) + (xy 114.833646 91.349241) + (xy 114.838878 91.345519) + (xy 114.84392 91.341556) + (xy 114.848763 91.337361) + (xy 114.853398 91.332942) + (xy 114.857817 91.328306) + (xy 114.862013 91.323464) + (xy 114.865976 91.318422) + (xy 114.869697 91.31319) + (xy 114.87317 91.307775) + (xy 114.876384 91.302187) + (xy 114.879333 91.296434) + (xy 114.882006 91.290524) + (xy 114.884397 91.284465) + (xy 114.886496 91.278266) + (xy 114.888296 91.271935) + (xy 114.889787 91.265482) + (xy 114.890961 91.258913) + (xy 114.89181 91.252238) + (xy 114.892326 91.245464) + (xy 114.892499 91.238601) + (xy 114.892326 91.231778) + (xy 114.89181 91.225042) + (xy 114.890961 91.218401) + (xy 114.889787 91.211864) + (xy 114.888296 91.20544) + (xy 114.886496 91.199138) + (xy 114.884397 91.192965) + (xy 114.882006 91.18693) + (xy 114.879333 91.181041) + (xy 114.876384 91.175308) + (xy 114.87317 91.169738) + (xy 114.869697 91.16434) + (xy 114.865976 91.159123) + (xy 114.862013 91.154095) + (xy 114.857817 91.149265) + (xy 114.853398 91.14464) + (xy 114.848763 91.14023) + (xy 114.84392 91.136043) + (xy 114.838878 91.132088) + (xy 114.833646 91.128372) + (xy 114.828231 91.124905) + (xy 114.822643 91.121695) + (xy 114.81689 91.11875) + (xy 114.810979 91.116079) + (xy 114.804921 91.113691) + (xy 114.798722 91.111594) + (xy 114.792391 91.109796) + (xy 114.785937 91.108306) + (xy 114.779368 91.107132) + (xy 114.772693 91.106283) + (xy 114.76592 91.105767) + (xy 114.759057 91.105593) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "B.SilkS") (tstamp 4a342e86-413a-42f7-8cf3-f14c5914d2a3)) + (gr_line (start 115.22071 79.581254) (end 115.212227 79.592059) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 4b6db127-f57c-48a0-a2e1-da259959c592)) + (gr_line (start 106.994309 93.856313) (end 106.986512 93.864109) + (stroke (width 0.110049) (type solid)) (layer "B.SilkS") (tstamp 4c5841e8-bd25-463e-aaae-2223c5f59103)) + (gr_line (start 114.511233 90.830051) (end 114.51557 90.840009) + (stroke (width 0.110049) (type solid)) (layer "B.SilkS") (tstamp 4d10248d-8521-4c52-a68c-d492ff9a0ac7)) + (gr_line (start 110.879751 83.881273) (end 110.89303 83.876783) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 4d7dddb5-0d77-4cf8-865c-8307ec71d0e5)) + (gr_line (start 110.986977 83.819902) (end 110.996912 83.810439) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 4dcbdac0-fbfc-4b40-ba4f-e83c1c1240b5)) + (gr_line (start 110.646313 83.363666) (end 110.634694 83.371111) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 4eb2d425-dddf-4e7a-8c6b-67c23220f777)) + (gr_poly + (pts + (xy 115.002747 83.51573) + (xy 114.991828 83.516563) + (xy 114.981066 83.517935) + (xy 114.970474 83.519832) + (xy 114.960066 83.522241) + (xy 114.949856 83.525147) + (xy 114.939857 83.528538) + (xy 114.930083 83.5324) + (xy 114.920548 83.536718) + (xy 114.911265 83.54148) + (xy 114.902248 83.546671) + (xy 114.893511 83.552279) + (xy 114.885067 83.558288) + (xy 114.876929 83.564687) + (xy 114.869112 83.57146) + (xy 114.86163 83.578595) + (xy 114.854495 83.586078) + (xy 114.847721 83.593895) + (xy 114.841323 83.602032) + (xy 114.835313 83.610477) + (xy 114.829706 83.619214) + (xy 114.824514 83.628231) + (xy 114.819753 83.637514) + (xy 114.815434 83.647049) + (xy 114.811573 83.656823) + (xy 114.808182 83.666822) + (xy 114.805275 83.677032) + (xy 114.802867 83.68744) + (xy 114.800969 83.698032) + (xy 114.799597 83.708794) + (xy 114.798764 83.719713) + (xy 114.798483 83.730776) + (xy 114.798764 83.741876) + (xy 114.799597 83.752828) + (xy 114.800969 83.763619) + (xy 114.802867 83.774235) + (xy 114.805275 83.784663) + (xy 114.808182 83.794889) + (xy 114.811573 83.804901) + (xy 114.815434 83.814684) + (xy 114.819753 83.824225) + (xy 114.824514 83.833511) + (xy 114.829706 83.842528) + (xy 114.835313 83.851264) + (xy 114.841323 83.859704) + (xy 114.847721 83.867835) + (xy 114.854495 83.875644) + (xy 114.86163 83.883117) + (xy 114.869112 83.890241) + (xy 114.876929 83.897003) + (xy 114.885067 83.903389) + (xy 114.893511 83.909385) + (xy 114.902248 83.914979) + (xy 114.911265 83.920157) + (xy 114.920548 83.924905) + (xy 114.930083 83.929211) + (xy 114.939857 83.93306) + (xy 114.949856 83.936439) + (xy 114.960066 83.939335) + (xy 114.970474 83.941735) + (xy 114.981066 83.943625) + (xy 114.991828 83.944991) + (xy 115.002747 83.945821) + (xy 115.01381 83.9461) + (xy 115.02491 83.945821) + (xy 115.035862 83.944991) + (xy 115.046653 83.943625) + (xy 115.057269 83.941735) + (xy 115.067697 83.939335) + (xy 115.077924 83.936439) + (xy 115.087935 83.93306) + (xy 115.097718 83.929211) + (xy 115.10726 83.924905) + (xy 115.116546 83.920157) + (xy 115.125563 83.914979) + (xy 115.134299 83.909385) + (xy 115.142739 83.903389) + (xy 115.15087 83.897003) + (xy 115.158679 83.890241) + (xy 115.166152 83.883117) + (xy 115.173276 83.875644) + (xy 115.180038 83.867835) + (xy 115.186424 83.859704) + (xy 115.192421 83.851264) + (xy 115.198015 83.842528) + (xy 115.203192 83.833511) + (xy 115.207941 83.824225) + (xy 115.212246 83.814684) + (xy 115.216095 83.804901) + (xy 115.219475 83.794889) + (xy 115.222371 83.784663) + (xy 115.224771 83.774235) + (xy 115.226661 83.763619) + (xy 115.228027 83.752828) + (xy 115.228857 83.741876) + (xy 115.229136 83.730776) + (xy 115.228857 83.719713) + (xy 115.228027 83.708794) + (xy 115.226661 83.698032) + (xy 115.224771 83.68744) + (xy 115.222371 83.677033) + (xy 115.219475 83.666822) + (xy 115.216095 83.656824) + (xy 115.212246 83.64705) + (xy 115.207941 83.637515) + (xy 115.203192 83.628232) + (xy 115.198015 83.619215) + (xy 115.192421 83.610477) + (xy 115.186424 83.602033) + (xy 115.180038 83.593895) + (xy 115.173276 83.586079) + (xy 115.166152 83.578596) + (xy 115.158679 83.571461) + (xy 115.15087 83.564687) + (xy 115.142739 83.558289) + (xy 115.134299 83.552279) + (xy 115.125563 83.546672) + (xy 115.116546 83.54148) + (xy 115.10726 83.536718) + (xy 115.097718 83.5324) + (xy 115.087935 83.528538) + (xy 115.077924 83.525148) + (xy 115.067697 83.522241) + (xy 115.057269 83.519832) + (xy 115.046653 83.517935) + (xy 115.035862 83.516563) + (xy 115.02491 83.51573) + (xy 115.01381 83.515449) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "B.SilkS") (tstamp 50292433-d400-4e9a-af58-3a7c25965b93)) + (gr_line (start 115.589797 80.00765) (end 115.601398 80.000219) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 51ee8889-d2df-4ce5-b8f7-4dcb1499ab7a)) + (gr_line (start 119.523527 89.21488) (end 119.514431 89.220946) + (stroke (width 0.110049) (type solid)) (layer "B.SilkS") (tstamp 540b485b-92c9-4d69-a51b-d23623d30696)) + (gr_line (start 109.423557 77.411792) (end 110.279663 79.416883) + (stroke (width 0.110049) (type solid)) (layer "B.SilkS") (tstamp 54543b98-19cb-4c79-a621-42ddbc746aff)) + (gr_line (start 110.509899 83.637436) (end 110.51172 83.651761) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 5550d5c8-ab12-4500-ac08-f8d69949de18)) + (gr_line (start 111.543888 82.254252) (end 111.533928 82.249919) + (stroke (width 0.110049) (type solid)) (layer "B.SilkS") (tstamp 560005be-0955-4aa3-ad70-c6077b621408)) + (gr_line (start 110.931002 83.356774) (end 110.918674 83.350452) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 56b75554-788e-4a08-a9d0-f0ea3a2619c7)) + (gr_line (start 118.744976 89.630802) (end 115.137287 91.086097) + (stroke (width 0.110049) (type solid)) (layer "B.SilkS") (tstamp 580f9823-b026-451e-aaff-6a0296fcc342)) + (gr_line (start 115.44143 79.477104) (end 115.426696 79.477477) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 58663f6c-36d6-4f4a-a77a-3c000a1b5cb0)) + (gr_line (start 110.536665 83.484291) (end 110.530929 83.496954) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 590f4b4f-04a5-4a27-ba9f-ad1655576c47)) + (gr_poly + (pts + (xy 114.604455 91.136962) + (xy 114.597685 91.137478) + (xy 114.591016 91.138327) + (xy 114.584455 91.139501) + (xy 114.578011 91.140992) + (xy 114.571692 91.142791) + (xy 114.565507 91.14489) + (xy 114.559463 91.147281) + (xy 114.553568 91.149955) + (xy 114.547832 91.152903) + (xy 114.542262 91.156117) + (xy 114.536866 91.15959) + (xy 114.531653 91.163311) + (xy 114.526632 91.167274) + (xy 114.521809 91.171469) + (xy 114.517194 91.175889) + (xy 114.512795 91.180524) + (xy 114.50862 91.185367) + (xy 114.504677 91.190409) + (xy 114.500974 91.195641) + (xy 114.497521 91.201055) + (xy 114.494324 91.206643) + (xy 114.491393 91.212397) + (xy 114.488735 91.218307) + (xy 114.486359 91.224366) + (xy 114.484273 91.230565) + (xy 114.482486 91.236895) + (xy 114.481004 91.243349) + (xy 114.479838 91.249918) + (xy 114.478995 91.256593) + (xy 114.478483 91.263366) + (xy 114.47831 91.270229) + (xy 114.478483 91.277053) + (xy 114.478995 91.283789) + (xy 114.479838 91.29043) + (xy 114.481004 91.296966) + (xy 114.482485 91.30339) + (xy 114.484273 91.309693) + (xy 114.486359 91.315866) + (xy 114.488735 91.321901) + (xy 114.491393 91.327789) + (xy 114.494324 91.333523) + (xy 114.497521 91.339092) + (xy 114.500974 91.34449) + (xy 114.504676 91.349707) + (xy 114.508619 91.354735) + (xy 114.512794 91.359565) + (xy 114.517194 91.36419) + (xy 114.521809 91.3686) + (xy 114.526631 91.372787) + (xy 114.531653 91.376742) + (xy 114.536866 91.380458) + (xy 114.542261 91.383925) + (xy 114.547831 91.387135) + (xy 114.553568 91.39008) + (xy 114.559462 91.39275) + (xy 114.565506 91.395139) + (xy 114.571692 91.397236) + (xy 114.578011 91.399034) + (xy 114.584455 91.400524) + (xy 114.591016 91.401698) + (xy 114.597685 91.402547) + (xy 114.604455 91.403062) + (xy 114.611316 91.403236) + (xy 114.618179 91.403062) + (xy 114.624952 91.402547) + (xy 114.631628 91.401698) + (xy 114.638196 91.400524) + (xy 114.64465 91.399034) + (xy 114.650981 91.397236) + (xy 114.65718 91.395139) + (xy 114.663239 91.39275) + (xy 114.669149 91.39008) + (xy 114.674902 91.387135) + (xy 114.680491 91.383925) + (xy 114.685905 91.380458) + (xy 114.691137 91.376742) + (xy 114.696179 91.372787) + (xy 114.701022 91.3686) + (xy 114.705657 91.36419) + (xy 114.710077 91.359565) + (xy 114.714272 91.354735) + (xy 114.718235 91.349707) + (xy 114.721957 91.34449) + (xy 114.725429 91.339092) + (xy 114.728644 91.333523) + (xy 114.731592 91.327789) + (xy 114.734266 91.321901) + (xy 114.736656 91.315866) + (xy 114.738755 91.309693) + (xy 114.740555 91.30339) + (xy 114.742046 91.296966) + (xy 114.74322 91.29043) + (xy 114.744069 91.283789) + (xy 114.744585 91.277053) + (xy 114.744759 91.270229) + (xy 114.744585 91.263366) + (xy 114.744069 91.256593) + (xy 114.74322 91.249918) + (xy 114.742046 91.243349) + (xy 114.740555 91.236895) + (xy 114.738755 91.230565) + (xy 114.736656 91.224366) + (xy 114.734266 91.218307) + (xy 114.731592 91.212397) + (xy 114.728644 91.206643) + (xy 114.725429 91.201055) + (xy 114.721957 91.195641) + (xy 114.718235 91.190409) + (xy 114.714272 91.185367) + (xy 114.710077 91.180524) + (xy 114.705657 91.175889) + (xy 114.701022 91.171469) + (xy 114.696179 91.167274) + (xy 114.691137 91.163311) + (xy 114.685905 91.15959) + (xy 114.680491 91.156117) + (xy 114.674902 91.152903) + (xy 114.669149 91.149955) + (xy 114.663239 91.147281) + (xy 114.65718 91.14489) + (xy 114.650981 91.142791) + (xy 114.64465 91.140992) + (xy 114.638196 91.139501) + (xy 114.631628 91.138327) + (xy 114.624952 91.137478) + (xy 114.618179 91.136962) + (xy 114.611316 91.136788) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "B.SilkS") (tstamp 592eded6-3801-45a2-b520-736b798c2ab4)) + (gr_line (start 110.196473 87.119236) (end 110.200377 87.108843) + (stroke (width 0.110049) (type solid)) (layer "B.SilkS") (tstamp 594fa926-d6a8-464a-b29a-ea97e36c1b74)) + (gr_line (start 115.724085 79.719555) (end 115.721574 79.705486) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 5a2171e6-0644-42a3-a111-ebf4ff3f6586)) + (gr_line (start 115.397833 80.045708) (end 115.412158 80.047523) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 5a72dee1-0bf1-4b3d-8a34-c298efd75540)) + (gr_line (start 110.765524 83.892636) (end 110.780066 83.893739) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 5a75c2d0-66d7-4af7-a916-0e2c66cac5c3)) + (gr_line (start 115.259452 79.542472) (end 115.24908 79.551465) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 5b37c901-23e2-46bf-8f3d-637a77d1a8fa)) + (gr_line (start 120.319418 88.678946) (end 120.328513 88.672449) + (stroke (width 0.110049) (type solid)) (layer "B.SilkS") (tstamp 5c67470f-170a-45af-942d-b23133282f53)) + (gr_line (start 115.470701 80.047523) (end 115.485026 80.045708) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 5c940ef8-a9b3-4c08-a22c-56a19c2d8ea4)) + (gr_poly + (pts + (xy 111.131008 81.898792) + (xy 111.121607 81.899506) + (xy 111.112344 81.900682) + (xy 111.103229 81.902307) + (xy 111.094276 81.904371) + (xy 111.085494 81.906862) + (xy 111.076896 81.909769) + (xy 111.068493 81.913079) + (xy 111.060298 81.916782) + (xy 111.05232 81.920865) + (xy 111.044573 81.925317) + (xy 111.037068 81.930127) + (xy 111.029815 81.935282) + (xy 111.022828 81.940772) + (xy 111.016117 81.946584) + (xy 111.009693 81.952708) + (xy 111.00357 81.959131) + (xy 110.997757 81.965842) + (xy 110.992267 81.97283) + (xy 110.987112 81.980082) + (xy 110.982302 81.987588) + (xy 110.97785 81.995335) + (xy 110.973767 82.003313) + (xy 110.970064 82.011508) + (xy 110.966754 82.019911) + (xy 110.963848 82.028509) + (xy 110.961356 82.037291) + (xy 110.959292 82.046245) + (xy 110.957667 82.055359) + (xy 110.956491 82.064623) + (xy 110.955777 82.074024) + (xy 110.955537 82.08355) + (xy 110.955777 82.093039) + (xy 110.956491 82.102406) + (xy 110.957667 82.111641) + (xy 110.959292 82.120731) + (xy 110.961356 82.129665) + (xy 110.963848 82.138431) + (xy 110.966754 82.147016) + (xy 110.970064 82.155409) + (xy 110.973767 82.163599) + (xy 110.97785 82.171573) + (xy 110.982302 82.17932) + (xy 110.987112 82.186828) + (xy 110.992267 82.194085) + (xy 110.997757 82.201078) + (xy 111.00357 82.207798) + (xy 111.009693 82.21423) + (xy 111.016117 82.220365) + (xy 111.022828 82.226189) + (xy 111.029815 82.231691) + (xy 111.037068 82.23686) + (xy 111.044573 82.241683) + (xy 111.05232 82.246149) + (xy 111.060298 82.250245) + (xy 111.068493 82.253961) + (xy 111.076896 82.257283) + (xy 111.085494 82.260201) + (xy 111.094276 82.262703) + (xy 111.103229 82.264776) + (xy 111.112344 82.266409) + (xy 111.121607 82.26759) + (xy 111.131008 82.268307) + (xy 111.140534 82.268549) + (xy 111.150023 82.268307) + (xy 111.15939 82.26759) + (xy 111.168625 82.266409) + (xy 111.177715 82.264776) + (xy 111.186649 82.262703) + (xy 111.195414 82.260201) + (xy 111.203999 82.257283) + (xy 111.212393 82.253961) + (xy 111.220582 82.250245) + (xy 111.228557 82.246149) + (xy 111.236303 82.241683) + (xy 111.243811 82.23686) + (xy 111.251068 82.231691) + (xy 111.258061 82.226189) + (xy 111.264781 82.220365) + (xy 111.271213 82.21423) + (xy 111.277348 82.207798) + (xy 111.283172 82.201078) + (xy 111.288674 82.194085) + (xy 111.293843 82.186828) + (xy 111.298666 82.17932) + (xy 111.303132 82.171573) + (xy 111.307228 82.163599) + (xy 111.310944 82.155409) + (xy 111.314266 82.147016) + (xy 111.317184 82.138431) + (xy 111.319686 82.129665) + (xy 111.321759 82.120731) + (xy 111.323392 82.111641) + (xy 111.324573 82.102406) + (xy 111.32529 82.093039) + (xy 111.325532 82.08355) + (xy 111.32529 82.074024) + (xy 111.324573 82.064623) + (xy 111.323392 82.055359) + (xy 111.321759 82.046245) + (xy 111.319686 82.037291) + (xy 111.317184 82.028509) + (xy 111.314266 82.019911) + (xy 111.310944 82.011508) + (xy 111.307228 82.003313) + (xy 111.303132 81.995335) + (xy 111.298666 81.987588) + (xy 111.293843 81.980082) + (xy 111.288674 81.97283) + (xy 111.283172 81.965842) + (xy 111.277348 81.959131) + (xy 111.271213 81.952708) + (xy 111.264781 81.946584) + (xy 111.258061 81.940772) + (xy 111.251068 81.935282) + (xy 111.243811 81.930127) + (xy 111.236303 81.925317) + (xy 111.228557 81.920865) + (xy 111.220582 81.916782) + (xy 111.212393 81.913079) + (xy 111.203999 81.909769) + (xy 111.195414 81.906862) + (xy 111.186649 81.904371) + (xy 111.177715 81.902307) + (xy 111.168625 81.900682) + (xy 111.15939 81.899506) + (xy 111.150023 81.898792) + (xy 111.140534 81.898552) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "B.SilkS") (tstamp 5d6a0555-8e5a-4f35-a8e7-28f32301acaa)) + (gr_line (start 115.52654 80.03616) (end 115.539833 80.03167) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 5e238793-4733-4413-a91e-e150dff4d6d3)) + (gr_line (start 115.412158 79.478583) (end 115.397833 79.480404) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 5e696324-8c4a-4b27-9df6-084edf8b502f)) + (gr_line (start 110.765524 83.323696) (end 110.751194 83.325517) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 60dfe55f-0a03-4f65-9527-48d5972c90af)) + (gr_line (start 115.704934 79.651841) (end 115.699214 79.639177) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 61604d5b-a5e5-4162-aea5-f3ffef43682e)) + (gr_poly + (pts + (xy 106.68 93.98) + (xy 106.671675 93.980632) + (xy 106.663472 93.981672) + (xy 106.655402 93.983111) + (xy 106.647474 93.984937) + (xy 106.639699 93.987142) + (xy 106.632086 93.989714) + (xy 106.624647 93.992643) + (xy 106.617391 93.995919) + (xy 106.610329 93.999533) + (xy 106.603471 94.003473) + (xy 106.596827 94.00773) + (xy 106.590407 94.012293) + (xy 106.584222 94.017151) + (xy 106.578281 94.022296) + (xy 106.572596 94.027716) + (xy 106.567176 94.033401) + (xy 106.562031 94.039342) + (xy 106.557173 94.045527) + (xy 106.55261 94.051947) + (xy 106.548353 94.058591) + (xy 106.544413 94.065449) + (xy 106.5408 94.072511) + (xy 106.537523 94.079767) + (xy 106.534594 94.087206) + (xy 106.532022 94.094818) + (xy 106.529817 94.102594) + (xy 106.527991 94.110522) + (xy 106.526552 94.118592) + (xy 106.525512 94.126795) + (xy 106.524881 94.13512) + (xy 106.524668 94.143556) + (xy 106.524881 94.152032) + (xy 106.525512 94.160394) + (xy 106.526552 94.168632) + (xy 106.527991 94.176734) + (xy 106.529817 94.184692) + (xy 106.532022 94.192496) + (xy 106.534594 94.200134) + (xy 106.537523 94.207597) + (xy 106.5408 94.214875) + (xy 106.544413 94.221957) + (xy 106.548353 94.228833) + (xy 106.55261 94.235494) + (xy 106.557173 94.241929) + (xy 106.562031 94.248128) + (xy 106.567176 94.25408) + (xy 106.572596 94.259776) + (xy 106.578281 94.265206) + (xy 106.584222 94.270359) + (xy 106.590407 94.275225) + (xy 106.596827 94.279794) + (xy 106.603471 94.284055) + (xy 106.610329 94.288) + (xy 106.617391 94.291617) + (xy 106.624647 94.294896) + (xy 106.632086 94.297828) + (xy 106.639699 94.300401) + (xy 106.647474 94.302607) + (xy 106.655402 94.304434) + (xy 106.663472 94.305873) + (xy 106.671675 94.306914) + (xy 106.68 94.307545) + (xy 106.688437 94.307758) + (xy 106.696874 94.307545) + (xy 106.705203 94.306914) + (xy 106.713411 94.305873) + (xy 106.72149 94.304434) + (xy 106.729428 94.302607) + (xy 106.737214 94.300401) + (xy 106.74484 94.297828) + (xy 106.752294 94.294896) + (xy 106.759565 94.291617) + (xy 106.766644 94.288) + (xy 106.77352 94.284055) + (xy 106.780183 94.279794) + (xy 106.786622 94.275225) + (xy 106.792827 94.270359) + (xy 106.798788 94.265206) + (xy 106.804493 94.259776) + (xy 106.809934 94.25408) + (xy 106.815098 94.248128) + (xy 106.819977 94.241929) + (xy 106.824559 94.235494) + (xy 106.828834 94.228833) + (xy 106.832792 94.221957) + (xy 106.836423 94.214875) + (xy 106.839715 94.207597) + (xy 106.842659 94.200134) + (xy 106.845244 94.192496) + (xy 106.84746 94.184692) + (xy 106.849297 94.176734) + (xy 106.850743 94.168632) + (xy 106.851789 94.160394) + (xy 106.852424 94.152032) + (xy 106.852638 94.143556) + (xy 106.852424 94.13512) + (xy 106.851789 94.126795) + (xy 106.850743 94.118592) + (xy 106.849297 94.110522) + (xy 106.84746 94.102594) + (xy 106.845244 94.094818) + (xy 106.842659 94.087206) + (xy 106.839715 94.079767) + (xy 106.836423 94.072511) + (xy 106.832792 94.065449) + (xy 106.828834 94.058591) + (xy 106.824559 94.051947) + (xy 106.819977 94.045527) + (xy 106.815098 94.039342) + (xy 106.809934 94.033401) + (xy 106.804493 94.027716) + (xy 106.798788 94.022296) + (xy 106.792827 94.017151) + (xy 106.786622 94.012293) + (xy 106.780183 94.00773) + (xy 106.77352 94.003473) + (xy 106.766644 93.999533) + (xy 106.759565 93.995919) + (xy 106.752294 93.992643) + (xy 106.74484 93.989714) + (xy 106.737214 93.987142) + (xy 106.729428 93.984937) + (xy 106.72149 93.983111) + (xy 106.713411 93.981672) + (xy 106.705203 93.980632) + (xy 106.696874 93.98) + (xy 106.688437 93.979788) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "B.SilkS") (tstamp 619bb647-e211-4fe5-be02-86789f8fd807)) + (gr_line (start 115.305035 80.014528) (end 115.317366 80.020836) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 63494601-7a13-4c20-b039-5f5cd645d20c)) + (gr_line (start 115.643703 79.560939) (end 115.633777 79.551465) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 63d44b9a-0536-4fea-b5ac-dd5fbbe1746b)) + (gr_line (start 111.07173 83.679698) (end 111.074927 83.665854) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 64a63965-5565-4a88-a149-5e6c12a00494)) + (gr_line (start 115.369896 79.48612) (end 115.356318 79.489979) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 64f7d855-2c7d-47b6-9bf3-0622f947d6c7)) + (gr_poly + (pts + (xy 114.701084 91.040887) + (xy 114.690051 91.041725) + (xy 114.679179 91.043103) + (xy 114.668483 91.04501) + (xy 114.657975 91.047431) + (xy 114.64767 91.050353) + (xy 114.637581 91.053762) + (xy 114.627721 91.057644) + (xy 114.618104 91.061987) + (xy 114.608744 91.066777) + (xy 114.599654 91.071999) + (xy 114.590848 91.077641) + (xy 114.582339 91.083689) + (xy 114.574141 91.090129) + (xy 114.566268 91.096948) + (xy 114.558733 91.104131) + (xy 114.551549 91.111667) + (xy 114.54473 91.11954) + (xy 114.53829 91.127738) + (xy 114.532243 91.136247) + (xy 114.526601 91.145053) + (xy 114.521378 91.154143) + (xy 114.516589 91.163504) + (xy 114.512246 91.17312) + (xy 114.508364 91.18298) + (xy 114.504954 91.19307) + (xy 114.502033 91.203375) + (xy 114.499612 91.213883) + (xy 114.497705 91.224579) + (xy 114.496327 91.235451) + (xy 114.495489 91.246484) + (xy 114.495207 91.257665) + (xy 114.495489 91.268809) + (xy 114.496327 91.279809) + (xy 114.497705 91.290652) + (xy 114.499612 91.301324) + (xy 114.502033 91.311811) + (xy 114.504954 91.3221) + (xy 114.508364 91.332177) + (xy 114.512246 91.342027) + (xy 114.516589 91.351638) + (xy 114.521378 91.360995) + (xy 114.526601 91.370084) + (xy 114.532243 91.378893) + (xy 114.53829 91.387406) + (xy 114.54473 91.39561) + (xy 114.551549 91.403491) + (xy 114.558733 91.411036) + (xy 114.566268 91.418231) + (xy 114.574141 91.425061) + (xy 114.582339 91.431514) + (xy 114.590848 91.437575) + (xy 114.599654 91.44323) + (xy 114.608744 91.448466) + (xy 114.618104 91.453269) + (xy 114.627721 91.457624) + (xy 114.637581 91.46152) + (xy 114.64767 91.46494) + (xy 114.657975 91.467872) + (xy 114.668483 91.470302) + (xy 114.679179 91.472216) + (xy 114.690051 91.473601) + (xy 114.701084 91.474441) + (xy 114.712266 91.474725) + (xy 114.723408 91.474441) + (xy 114.734404 91.473601) + (xy 114.745241 91.472216) + (xy 114.755906 91.470302) + (xy 114.766383 91.467872) + (xy 114.776661 91.46494) + (xy 114.786725 91.46152) + (xy 114.796561 91.457624) + (xy 114.806155 91.453269) + (xy 114.815496 91.448466) + (xy 114.824567 91.44323) + (xy 114.833357 91.437575) + (xy 114.84185 91.431514) + (xy 114.850035 91.425061) + (xy 114.857896 91.418231) + (xy 114.865421 91.411036) + (xy 114.872595 91.403491) + (xy 114.879405 91.39561) + (xy 114.885838 91.387406) + (xy 114.89188 91.378893) + (xy 114.897516 91.370084) + (xy 114.902734 91.360995) + (xy 114.90752 91.351638) + (xy 114.91186 91.342027) + (xy 114.91574 91.332177) + (xy 114.919148 91.3221) + (xy 114.922068 91.311811) + (xy 114.924489 91.301324) + (xy 114.926395 91.290652) + (xy 114.927773 91.279809) + (xy 114.92861 91.268809) + (xy 114.928892 91.257665) + (xy 114.92861 91.246484) + (xy 114.927773 91.235451) + (xy 114.926395 91.224579) + (xy 114.924489 91.213883) + (xy 114.922068 91.203375) + (xy 114.919148 91.19307) + (xy 114.91574 91.18298) + (xy 114.91186 91.17312) + (xy 114.90752 91.163504) + (xy 114.902734 91.154143) + (xy 114.897516 91.145053) + (xy 114.89188 91.136247) + (xy 114.885838 91.127738) + (xy 114.879405 91.11954) + (xy 114.872595 91.111667) + (xy 114.865421 91.104131) + (xy 114.857896 91.096948) + (xy 114.850035 91.090129) + (xy 114.84185 91.083689) + (xy 114.833357 91.077641) + (xy 114.824567 91.071999) + (xy 114.815496 91.066777) + (xy 114.806155 91.061987) + (xy 114.796561 91.057644) + (xy 114.786725 91.053762) + (xy 114.776661 91.050353) + (xy 114.766383 91.047431) + (xy 114.755906 91.04501) + (xy 114.745241 91.043103) + (xy 114.734404 91.041725) + (xy 114.723408 91.040887) + (xy 114.712266 91.040605) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "B.SilkS") (tstamp 6577ab22-246c-44a8-8523-e1f54d6e230c)) + (gr_line (start 115.158772 79.806647) (end 115.161283 79.820741) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 67cdf48a-cd8f-47c3-aea9-a03fc45ffad0)) + (gr_line (start 110.573824 83.426367) (end 110.565321 83.437172) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 697a5e20-13e7-4a0b-9377-e2f8f09fd1ae)) + (gr_line (start 115.161283 79.705486) (end 115.158772 79.719555) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 6a4c548b-8a8c-4ac6-ad2d-185d8abf340c)) + (gr_line (start 115.623406 79.542472) (end 115.612607 79.533977) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 6b4d2653-e72c-4f8a-8f4d-abe5b8189b21)) + (gr_line (start 110.954579 83.845332) (end 110.965792 83.837366) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 6b8c3d97-d368-47e7-b19d-7485f5f6c019)) + (gr_line (start 111.031853 83.448385) (end 111.023874 83.437172) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 6b971bee-1fbe-4b6c-9e01-e4f4bcd5716d)) + (gr_line (start 110.521297 83.693275) (end 110.5258 83.706568) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 6bc12a9f-fe27-483c-8ef3-337d0fd569df)) + (gr_line (start 110.696344 83.876783) (end 110.709649 83.881273) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 6bd80f86-68ee-40f6-9b4e-4d7f842d91a5)) + (gr_line (start 115.552822 79.499606) (end 115.539833 79.49448) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 6be00514-c1f8-4271-835b-c7c34d6714f8)) + (gr_line (start 115.19683 79.911419) (end 115.204262 79.92302) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 6c4cfc44-d378-4e30-930c-718b58f41642)) + (gr_line (start 115.686027 79.91142) (end 115.692906 79.899445) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 6cc89134-3ccd-4dea-a772-612dc8be2064)) + (gr_line (start 115.44143 80.048997) (end 115.456163 80.048626) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 70da2740-2587-4838-9c31-9da39980a877)) + (gr_line (start 115.317366 79.505339) (end 115.305035 79.511661) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 7254ae99-45ff-461c-8018-b96564e7cdaa)) + (gr_line (start 110.646313 83.852763) (end 110.658306 83.859641) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 742bad2c-d05c-4f9c-923c-9ca756bebed9)) + (gr_line (start 115.259452 79.98377) (end 115.270251 79.992253) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 74ed19ac-d85d-4d7c-8b06-066d3e35d510)) + (gr_line (start 110.931002 83.859641) (end 110.942976 83.852763) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 765f0e72-6d2f-49cd-808d-3123203fb817)) + (gr_poly + (pts + (xy 110.354787 86.414651) + (xy 110.342556 86.415578) + (xy 110.330503 86.417104) + (xy 110.318642 86.419215) + (xy 110.306989 86.421896) + (xy 110.295559 86.425131) + (xy 110.284367 86.428906) + (xy 110.273429 86.433206) + (xy 110.262759 86.438015) + (xy 110.252372 86.443318) + (xy 110.242285 86.449102) + (xy 110.232511 86.45535) + (xy 110.223066 86.462047) + (xy 110.213966 86.46918) + (xy 110.205225 86.476732) + (xy 110.196858 86.484688) + (xy 110.188881 86.493034) + (xy 110.181309 86.501755) + (xy 110.174157 86.510836) + (xy 110.16744 86.520261) + (xy 110.161174 86.530016) + (xy 110.155372 86.540086) + (xy 110.150052 86.550456) + (xy 110.145227 86.56111) + (xy 110.140913 86.572034) + (xy 110.137125 86.583212) + (xy 110.133878 86.594631) + (xy 110.131187 86.606274) + (xy 110.129069 86.618126) + (xy 110.127536 86.630174) + (xy 110.126606 86.642401) + (xy 110.126292 86.654794) + (xy 110.126606 86.667187) + (xy 110.127536 86.679418) + (xy 110.129069 86.691472) + (xy 110.131187 86.703332) + (xy 110.133878 86.714985) + (xy 110.137125 86.726415) + (xy 110.140913 86.737607) + (xy 110.145227 86.748546) + (xy 110.150052 86.759216) + (xy 110.155372 86.769602) + (xy 110.161174 86.77969) + (xy 110.16744 86.789463) + (xy 110.174157 86.798908) + (xy 110.181309 86.808009) + (xy 110.188881 86.81675) + (xy 110.196858 86.825116) + (xy 110.205225 86.833093) + (xy 110.213966 86.840665) + (xy 110.223066 86.847817) + (xy 110.232511 86.854534) + (xy 110.242285 86.860801) + (xy 110.252372 86.866602) + (xy 110.262759 86.871923) + (xy 110.273429 86.876748) + (xy 110.284367 86.881062) + (xy 110.295559 86.88485) + (xy 110.306989 86.888096) + (xy 110.318642 86.890787) + (xy 110.330503 86.892906) + (xy 110.342556 86.894438) + (xy 110.354787 86.895369) + (xy 110.367181 86.895682) + (xy 110.379573 86.895369) + (xy 110.3918 86.894438) + (xy 110.403848 86.892906) + (xy 110.4157 86.890787) + (xy 110.427343 86.888096) + (xy 110.438762 86.88485) + (xy 110.44994 86.881062) + (xy 110.460864 86.876748) + (xy 110.471518 86.871923) + (xy 110.481888 86.866602) + (xy 110.491957 86.860801) + (xy 110.501712 86.854534) + (xy 110.511138 86.847817) + (xy 110.520218 86.840665) + (xy 110.528939 86.833093) + (xy 110.537285 86.825116) + (xy 110.545242 86.81675) + (xy 110.552794 86.808009) + (xy 110.559926 86.798908) + (xy 110.566624 86.789463) + (xy 110.572872 86.77969) + (xy 110.578655 86.769602) + (xy 110.583959 86.759216) + (xy 110.588768 86.748546) + (xy 110.593067 86.737607) + (xy 110.596842 86.726415) + (xy 110.600077 86.714985) + (xy 110.602758 86.703332) + (xy 110.604869 86.691472) + (xy 110.606395 86.679418) + (xy 110.607322 86.667187) + (xy 110.607635 86.654794) + (xy 110.607322 86.642401) + (xy 110.606395 86.630174) + (xy 110.604869 86.618126) + (xy 110.602758 86.606274) + (xy 110.600077 86.594631) + (xy 110.596842 86.583212) + (xy 110.593067 86.572034) + (xy 110.588768 86.56111) + (xy 110.583959 86.550456) + (xy 110.578655 86.540086) + (xy 110.572872 86.530016) + (xy 110.566624 86.520261) + (xy 110.559926 86.510836) + (xy 110.552794 86.501755) + (xy 110.545242 86.493034) + (xy 110.537285 86.484688) + (xy 110.528939 86.476732) + (xy 110.520218 86.46918) + (xy 110.511138 86.462047) + (xy 110.501712 86.45535) + (xy 110.491957 86.449102) + (xy 110.481888 86.443318) + (xy 110.471518 86.438015) + (xy 110.460864 86.433206) + (xy 110.44994 86.428906) + (xy 110.438762 86.425131) + (xy 110.427343 86.421896) + (xy 110.4157 86.419215) + (xy 110.403848 86.417104) + (xy 110.3918 86.415578) + (xy 110.379573 86.414651) + (xy 110.367181 86.414339) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "B.SilkS") (tstamp 77b6b7a6-b303-433c-906d-59430e41fd2b)) + (gr_line (start 115.161283 79.820741) (end 115.164472 79.834585) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 782a4454-d274-4375-9af3-5bd74aed02b4)) + (gr_line (start 115.189952 79.626849) (end 115.183644 79.639177) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 7871146a-9e1a-4be0-a829-f4846c3456ef)) + (gr_line (start 110.658306 83.859641) (end 110.670654 83.865949) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 7986079c-d558-4e3e-8cf7-e5fabf44eca5)) + (gr_line (start 115.426696 80.048626) (end 115.44143 80.048997) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 7ac8ee86-7e7c-44b1-811a-1fce717eb9c2)) + (gr_line (start 115.456163 80.048626) (end 115.470701 80.047523) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 7bfb3b9e-a076-4f4d-ad9c-2aaac6692a5e)) + (gr_line (start 115.633777 79.551465) (end 115.623406 79.542472) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 7e684921-d23c-46a2-adf1-80e048de655f)) + (gr_line (start 111.067871 83.523215) (end 111.06337 83.509935) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 7e7226ac-f372-430a-82f8-20f8f3f3b5e2)) + (gr_line (start 110.514239 83.665854) (end 110.517438 83.679698) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 7ef5a1c3-ad17-4516-a9d1-a2650da68403)) + (gr_line (start 115.38374 79.482923) (end 115.369896 79.48612) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 7f5981d1-eaba-4546-a6f9-54abbdbb2b34)) + (gr_line (start 110.565321 83.779342) (end 110.573824 83.790141) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 7f73c23c-94f0-49b1-877c-204ea94c64e5)) + (gr_line (start 115.552822 80.026556) (end 115.565492 80.020836) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 7f77ef37-6052-47ee-a89e-c90837f55ae0)) + (gr_line (start 110.521297 83.523215) (end 110.517438 83.536776) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 7fae1caa-7679-4b2a-87c1-4b38c7cb7022)) + (gr_line (start 110.696344 83.339593) (end 110.683339 83.344719) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 7fbc7ff4-555a-4ded-9ea6-5e1bba7727d8)) + (gr_poly + (pts + (xy 110.411747 79.631485) + (xy 110.406135 79.631908) + (xy 110.400607 79.632604) + (xy 110.39517 79.633566) + (xy 110.389831 79.634788) + (xy 110.384597 79.636264) + (xy 110.379475 79.637986) + (xy 110.374471 79.639947) + (xy 110.369592 79.642142) + (xy 110.364845 79.644562) + (xy 110.360236 79.647202) + (xy 110.355773 79.650055) + (xy 110.351461 79.653114) + (xy 110.347308 79.656372) + (xy 110.343321 79.659822) + (xy 110.339506 79.663458) + (xy 110.33587 79.667273) + (xy 110.33242 79.67126) + (xy 110.329162 79.675413) + (xy 110.326103 79.679724) + (xy 110.32325 79.684188) + (xy 110.32061 79.688796) + (xy 110.31819 79.693544) + (xy 110.315995 79.698423) + (xy 110.314034 79.703427) + (xy 110.312312 79.708549) + (xy 110.310836 79.713783) + (xy 110.309614 79.719121) + (xy 110.308652 79.724558) + (xy 110.307956 79.730086) + (xy 110.307534 79.735699) + (xy 110.307391 79.741389) + (xy 110.307534 79.747041) + (xy 110.307956 79.752621) + (xy 110.308652 79.75812) + (xy 110.309614 79.763532) + (xy 110.310836 79.768851) + (xy 110.312312 79.774068) + (xy 110.314034 79.779178) + (xy 110.315995 79.784173) + (xy 110.31819 79.789046) + (xy 110.32061 79.79379) + (xy 110.32325 79.798398) + (xy 110.326103 79.802863) + (xy 110.329162 79.807179) + (xy 110.33242 79.811338) + (xy 110.33587 79.815333) + (xy 110.339506 79.819158) + (xy 110.343321 79.822805) + (xy 110.347308 79.826267) + (xy 110.351461 79.829537) + (xy 110.355773 79.832609) + (xy 110.360236 79.835475) + (xy 110.364845 79.838129) + (xy 110.369592 79.840563) + (xy 110.374471 79.84277) + (xy 110.379475 79.844744) + (xy 110.384597 79.846477) + (xy 110.389831 79.847963) + (xy 110.39517 79.849194) + (xy 110.400607 79.850164) + (xy 110.406135 79.850865) + (xy 110.411747 79.851291) + (xy 110.417438 79.851435) + (xy 110.42309 79.851291) + (xy 110.428669 79.850865) + (xy 110.434168 79.850164) + (xy 110.439581 79.849194) + (xy 110.444899 79.847963) + (xy 110.450117 79.846477) + (xy 110.455226 79.844744) + (xy 110.460221 79.84277) + (xy 110.465094 79.840563) + (xy 110.469838 79.838129) + (xy 110.474446 79.835475) + (xy 110.478912 79.832609) + (xy 110.483228 79.829537) + (xy 110.487387 79.826267) + (xy 110.491382 79.822805) + (xy 110.495206 79.819158) + (xy 110.498853 79.815333) + (xy 110.502315 79.811338) + (xy 110.505586 79.807179) + (xy 110.508658 79.802863) + (xy 110.511524 79.798398) + (xy 110.514177 79.79379) + (xy 110.516611 79.789046) + (xy 110.518819 79.784173) + (xy 110.520793 79.779178) + (xy 110.522526 79.774068) + (xy 110.524012 79.768851) + (xy 110.525243 79.763532) + (xy 110.526213 79.75812) + (xy 110.526914 79.752621) + (xy 110.52734 79.747041) + (xy 110.527484 79.741389) + (xy 110.52734 79.735699) + (xy 110.526914 79.730086) + (xy 110.526213 79.724558) + (xy 110.525243 79.719121) + (xy 110.524012 79.713783) + (xy 110.522526 79.708549) + (xy 110.520793 79.703427) + (xy 110.518819 79.698423) + (xy 110.516611 79.693544) + (xy 110.514177 79.688796) + (xy 110.511524 79.684188) + (xy 110.508658 79.679724) + (xy 110.505586 79.675413) + (xy 110.502315 79.67126) + (xy 110.498853 79.667273) + (xy 110.495206 79.663458) + (xy 110.491382 79.659822) + (xy 110.487387 79.656372) + (xy 110.483228 79.653114) + (xy 110.478912 79.650055) + (xy 110.474446 79.647202) + (xy 110.469838 79.644562) + (xy 110.465094 79.642142) + (xy 110.460221 79.639947) + (xy 110.455226 79.637986) + (xy 110.450117 79.636264) + (xy 110.444899 79.634788) + (xy 110.439581 79.633566) + (xy 110.434168 79.632604) + (xy 110.428669 79.631908) + (xy 110.42309 79.631485) + (xy 110.417438 79.631343) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "B.SilkS") (tstamp 805a8311-bdea-47d2-bfe1-f7f9f4c27057)) + (gr_line (start 115.724085 79.806648) (end 115.725901 79.792323) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 817a1971-4c26-4a28-8ebf-815caf3c9be5)) + (gr_line (start 115.16832 79.678101) (end 115.164472 79.691662) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 81d3363b-1f91-4f5b-87ba-f45fc74a6f30)) + (gr_line (start 115.183644 79.887114) (end 115.189952 79.899445) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 81ec6363-c07a-4883-b7dc-b9810114e53f)) + (gr_line (start 110.54299 83.471963) (end 110.536665 83.484291) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 82ed2381-ea61-41cc-ae6b-62cfab658a21)) + (gr_line (start 115.718386 79.834585) (end 115.721574 79.820741) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 839f23c6-b456-40a2-b195-b5692d40a648)) + (gr_line (start 115.369896 80.040008) (end 115.38374 80.043197) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 842eb057-fc52-4bcf-966f-20912cbd9dfc)) + (gr_line (start 115.512963 80.040008) (end 115.52654 80.03616) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 85b99b7a-e50e-45e4-80b7-3d792b021138)) + (gr_line (start 115.38374 80.043197) (end 115.397833 80.045708) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 85df875d-35ad-4cef-ae69-31d68e7cc143)) + (gr_line (start 110.50842 83.608165) (end 110.508793 83.622898) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 871dd2d2-e4c8-4ba1-8b72-e1444a5f467a)) + (gr_line (start 115.155854 79.777785) (end 115.156957 79.792323) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 87d88032-3d84-4d09-8d5d-3c6f21fa0df0)) + (gr_line (start 115.330036 79.499606) (end 115.317366 79.505339) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 87ecf7c0-ae9d-4fd8-ba6d-bb9fc60e1250)) + (gr_line (start 110.996912 83.406052) (end 110.986977 83.396578) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 88ab56d5-ad4a-48b6-b7c7-2b2209a62fc0)) + (gr_line (start 115.499119 80.043197) (end 115.512963 80.040008) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 8c8cb18d-20b2-4864-8a54-e741757b39e3)) + (gr_line (start 115.714538 79.678101) (end 115.710048 79.664821) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 8da10350-e5c7-46f0-9cc9-2b32acdefc25)) + (gr_line (start 115.485026 79.480404) (end 115.470701 79.478583) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 8dadf2e5-9c88-485e-9256-bfd48907a80b)) + (gr_line (start 111.015379 83.790141) (end 111.023874 83.779342) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 8e826b15-9c4b-4ba6-994f-0064e00d21d6)) + (gr_line (start 110.508793 83.622898) (end 110.509899 83.637436) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 8eedf825-324e-4889-8c9e-3e211f188cff)) + (gr_line (start 115.612607 79.533977) (end 115.601398 79.525998) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 8f587da6-d1a3-4c3b-94ac-0814322db483)) + (gr_line (start 115.426696 79.477477) (end 115.412158 79.478583) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 8fdd292b-dba4-483d-ba25-2338ca36b6dc)) + (gr_line (start 115.692906 79.626849) (end 115.686027 79.614875) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 903e2efd-5416-448b-8feb-4522cd81c882)) + (gr_line (start 110.824001 83.892636) (end 110.838297 83.890821) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 958f752e-2b0a-4f65-a84b-1bddc7d5bf26)) + (gr_line (start 115.317366 80.020836) (end 115.330036 80.026556) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 96812410-b64b-41ec-941f-85b838d3e3bf)) + (gr_line (start 110.737092 83.328036) (end 110.723238 83.331233) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 96e716b2-9c5a-44b4-95f2-770ae86a4485)) + (gr_line (start 110.514239 83.5506) (end 110.51172 83.564669) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 9707f01a-b1a9-4584-ae9b-614415908ad4)) + (gr_line (start 115.293061 80.007649) (end 115.305035 80.014528) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 973fa085-9d6f-4dd2-a02c-cc81bbc0d443)) + (gr_line (start 116.467802 83.54361) (end 119.797346 83.433997) + (stroke (width 0.110049) (type solid)) (layer "B.SilkS") (tstamp 9869fc6b-b928-4061-ad67-013075a967f3)) + (gr_line (start 118.734584 89.634707) (end 118.744976 89.630802) + (stroke (width 0.110049) (type solid)) (layer "B.SilkS") (tstamp 98fabc67-b39b-4c7d-af69-0a39105a490c)) + (gr_line (start 110.794801 83.89411) (end 110.809496 83.893739) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 998ea705-ab47-426c-98da-3140bec863f0)) + (gr_line (start 112.492715 87.219321) (end 110.835092 86.779137) + (stroke (width 0.110049) (type solid)) (layer "B.SilkS") (tstamp 99bc885e-2f09-4a98-892a-df9e0b21889f)) + (gr_line (start 110.54299 83.744558) (end 110.549886 83.756532) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 9aceb3eb-bb0f-4e38-99ba-652969fcc701)) + (gr_line (start 109.427893 77.422191) (end 109.423557 77.411792) + (stroke (width 0.110049) (type solid)) (layer "B.SilkS") (tstamp 9b6e2549-a22c-41fc-98a8-cd60104df20f)) + (gr_line (start 111.068616 82.505538) (end 110.448631 86.17605) + (stroke (width 0.110049) (type solid)) (layer "B.SilkS") (tstamp 9cefed33-3f30-42ff-b3db-817366549c07)) + (gr_line (start 111.058244 83.719558) (end 111.06337 83.706568) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 9d0dc24e-945a-4c48-8120-e4e9447f1e5f)) + (gr_line (start 110.906011 83.344719) (end 110.89303 83.339593) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 9e8c6cf3-51ee-4d01-b08c-0800699784c7)) + (gr_line (start 110.670654 83.865949) (end 110.683339 83.871669) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 9e91f17b-6e38-40af-861f-cf8b1755e3b5)) + (gr_line (start 115.204262 79.603272) (end 115.19683 79.614875) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp 9efbe9b7-d85d-4098-b44d-9c9f86abde2d)) + (gr_line (start 110.845929 86.781733) (end 110.835092 86.779137) + (stroke (width 0.110049) (type solid)) (layer "B.SilkS") (tstamp a0eb9961-9865-4a17-9934-fc115c308e91)) + (gr_line (start 110.582825 83.800512) (end 110.592309 83.810439) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp a2247731-de9c-423f-858f-afd9a191cdb2)) + (gr_line (start 111.052511 83.484291) (end 111.046189 83.471963) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp a3ca6d5d-3fc7-4760-8d97-bff411c784a8)) + (gr_line (start 115.397833 79.480404) (end 115.38374 79.482923) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp a4021fad-bade-4a8d-b684-7fefce3f652d)) + (gr_line (start 115.699214 79.887114) (end 115.704934 79.874445) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp a43e3774-4b95-490f-a33d-cf368c0a3041)) + (gr_line (start 110.536665 83.732227) (end 110.54299 83.744558) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp a55393e6-3753-4b00-9c9f-85ae9c3df6bf)) + (gr_line (start 120.328513 88.672449) (end 119.514431 89.220946) + (stroke (width 0.110049) (type solid)) (layer "B.SilkS") (tstamp a5bc74a1-01d2-41d3-8b0c-85c8e682aa65)) + (gr_line (start 115.727375 79.763052) (end 115.727004 79.748356) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp a5e7d84e-d89d-48a7-b166-21348e29f0a0)) + (gr_line (start 110.942976 83.852763) (end 110.954579 83.845332) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp a5f025ca-f341-437b-88bc-8e6a2fa54c61)) + (gr_line (start 110.623466 83.37909) (end 110.612647 83.387585) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp a699edce-a210-4995-ba7a-cf5f4c46266e)) + (gr_line (start 110.557336 83.448385) (end 110.549886 83.459988) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp a6de9363-7cd1-4949-bef2-71307629b1de)) + (gr_line (start 110.5258 83.509935) (end 110.521297 83.523215) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp a8655f13-5aa9-42ff-af2d-ae2f9d878dfd)) + (gr_line (start 115.623406 79.98377) (end 115.633777 79.974789) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp a8f4b8c5-ae50-4576-a063-d0e7cf0ac7c6)) + (gr_line (start 110.275327 79.406917) (end 110.279663 79.416883) + (stroke (width 0.110049) (type solid)) (layer "B.SilkS") (tstamp a944f48a-de87-47b3-92f1-3e241a221577)) + (gr_line (start 115.239154 79.965326) (end 115.24908 79.974788) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp a992cca0-3b78-4755-b2a2-0774f5b9e8e4)) + (gr_line (start 110.509899 83.578965) (end 110.508793 83.59347) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp aa0aec55-e867-4857-9141-6f56c6688d97)) + (gr_line (start 112.481878 87.216294) (end 112.492715 87.219321) + (stroke (width 0.110049) (type solid)) (layer "B.SilkS") (tstamp aa3352ab-a904-46e2-be23-51293edeecab)) + (gr_poly + (pts + (xy 109.262081 76.90075) + (xy 109.254508 76.901329) + (xy 109.247043 76.902283) + (xy 109.239696 76.903601) + (xy 109.232475 76.905275) + (xy 109.225391 76.907295) + (xy 109.218452 76.909651) + (xy 109.21167 76.912334) + (xy 109.205052 76.915334) + (xy 109.198609 76.918642) + (xy 109.192349 76.922249) + (xy 109.186284 76.926144) + (xy 109.180421 76.930318) + (xy 109.174771 76.934762) + (xy 109.169344 76.939467) + (xy 109.164148 76.944422) + (xy 109.159193 76.949618) + (xy 109.154488 76.955046) + (xy 109.150044 76.960695) + (xy 109.14587 76.966558) + (xy 109.141975 76.972624) + (xy 109.138368 76.978883) + (xy 109.13506 76.985326) + (xy 109.13206 76.991944) + (xy 109.129377 76.998727) + (xy 109.127021 77.005665) + (xy 109.125001 77.012749) + (xy 109.123328 77.01997) + (xy 109.122009 77.027317) + (xy 109.121056 77.034782) + (xy 109.120476 77.042355) + (xy 109.120281 77.050027) + (xy 109.120476 77.057698) + (xy 109.121056 77.065271) + (xy 109.122009 77.072736) + (xy 109.123328 77.080084) + (xy 109.125001 77.087304) + (xy 109.127021 77.094389) + (xy 109.129377 77.101327) + (xy 109.13206 77.10811) + (xy 109.13506 77.114727) + (xy 109.138368 77.121171) + (xy 109.141975 77.12743) + (xy 109.14587 77.133495) + (xy 109.150044 77.139358) + (xy 109.154488 77.145008) + (xy 109.159193 77.150436) + (xy 109.164148 77.155632) + (xy 109.169344 77.160587) + (xy 109.174771 77.165291) + (xy 109.180421 77.169735) + (xy 109.186284 77.17391) + (xy 109.192349 77.177805) + (xy 109.198609 77.181411) + (xy 109.205052 77.184719) + (xy 109.21167 77.18772) + (xy 109.218452 77.190403) + (xy 109.225391 77.192759) + (xy 109.232475 77.194779) + (xy 109.239696 77.196452) + (xy 109.247043 77.197771) + (xy 109.254508 77.198724) + (xy 109.262081 77.199304) + (xy 109.269753 77.199499) + (xy 109.277424 77.199304) + (xy 109.284997 77.198724) + (xy 109.292462 77.197771) + (xy 109.29981 77.196452) + (xy 109.307031 77.194779) + (xy 109.314115 77.192759) + (xy 109.321053 77.190403) + (xy 109.327836 77.18772) + (xy 109.334454 77.184719) + (xy 109.340897 77.181411) + (xy 109.347156 77.177805) + (xy 109.353222 77.17391) + (xy 109.359085 77.169735) + (xy 109.364734 77.165291) + (xy 109.370162 77.160587) + (xy 109.375358 77.155632) + (xy 109.380313 77.150436) + (xy 109.385017 77.145008) + (xy 109.389461 77.139358) + (xy 109.393636 77.133495) + (xy 109.397531 77.12743) + (xy 109.401137 77.121171) + (xy 109.404445 77.114727) + (xy 109.407445 77.10811) + (xy 109.410128 77.101327) + (xy 109.412484 77.094389) + (xy 109.414504 77.087304) + (xy 109.416178 77.080084) + (xy 109.417496 77.072736) + (xy 109.41845 77.065271) + (xy 109.419029 77.057698) + (xy 109.419224 77.050027) + (xy 109.419029 77.042355) + (xy 109.41845 77.034782) + (xy 109.417496 77.027317) + (xy 109.416178 77.01997) + (xy 109.414504 77.012749) + (xy 109.412484 77.005665) + (xy 109.410128 76.998727) + (xy 109.407445 76.991944) + (xy 109.404445 76.985326) + (xy 109.401136 76.978883) + (xy 109.39753 76.972624) + (xy 109.393635 76.966558) + (xy 109.389461 76.960695) + (xy 109.385016 76.955046) + (xy 109.380312 76.949618) + (xy 109.375357 76.944422) + (xy 109.370161 76.939467) + (xy 109.364733 76.934762) + (xy 109.359083 76.930318) + (xy 109.353221 76.926144) + (xy 109.347155 76.922249) + (xy 109.340896 76.918642) + (xy 109.334453 76.915334) + (xy 109.327835 76.912334) + (xy 109.321052 76.909651) + (xy 109.314114 76.907295) + (xy 109.30703 76.905275) + (xy 109.299809 76.903601) + (xy 109.292462 76.902283) + (xy 109.284997 76.901329) + (xy 109.277424 76.90075) + (xy 109.269753 76.900555) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "B.SilkS") (tstamp ab14a358-bcac-45cb-a78e-5a3555dd60bc)) + (gr_line (start 111.06337 83.706568) (end 111.067871 83.693276) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp abaf5af0-12d5-4ed9-a38b-d9aa245ac21f)) + (gr_line (start 110.683339 83.344719) (end 110.670654 83.350452) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp ac0edac0-ffa9-484d-b48d-17522f8c8d7f)) + (gr_line (start 115.662148 79.581254) (end 115.653166 79.570874) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp ac759a64-430f-497e-b6c3-1830ce8262c5)) + (gr_poly + (pts + (xy 119.182197 89.285242) + (xy 119.175423 89.285758) + (xy 119.168748 89.286607) + (xy 119.162179 89.287781) + (xy 119.155726 89.289272) + (xy 119.149395 89.291071) + (xy 119.143196 89.293171) + (xy 119.137137 89.295561) + (xy 119.131227 89.298235) + (xy 119.125474 89.301183) + (xy 119.119886 89.304397) + (xy 119.114471 89.30787) + (xy 119.109239 89.311592) + (xy 119.104198 89.315554) + (xy 119.099355 89.31975) + (xy 119.09472 89.324169) + (xy 119.0903 89.328804) + (xy 119.086105 89.333647) + (xy 119.082142 89.338689) + (xy 119.07842 89.343921) + (xy 119.074948 89.349335) + (xy 119.071733 89.354923) + (xy 119.068785 89.360677) + (xy 119.066112 89.366587) + (xy 119.063721 89.372646) + (xy 119.061622 89.378845) + (xy 119.059823 89.385175) + (xy 119.058332 89.391629) + (xy 119.057157 89.398198) + (xy 119.056308 89.404873) + (xy 119.055793 89.411646) + (xy 119.055619 89.418509) + (xy 119.055793 89.425371) + (xy 119.056308 89.432141) + (xy 119.057157 89.43881) + (xy 119.058332 89.445371) + (xy 119.059823 89.451815) + (xy 119.061622 89.458134) + (xy 119.063721 89.464319) + (xy 119.066112 89.470364) + (xy 119.068785 89.476258) + (xy 119.071733 89.481995) + (xy 119.074948 89.487565) + (xy 119.07842 89.49296) + (xy 119.082142 89.498173) + (xy 119.086105 89.503195) + (xy 119.0903 89.508017) + (xy 119.09472 89.512632) + (xy 119.099355 89.517032) + (xy 119.104198 89.521207) + (xy 119.109239 89.52515) + (xy 119.114471 89.528852) + (xy 119.119886 89.532306) + (xy 119.125474 89.535502) + (xy 119.131227 89.538434) + (xy 119.137137 89.541091) + (xy 119.143196 89.543467) + (xy 119.149395 89.545553) + (xy 119.155726 89.547341) + (xy 119.162179 89.548822) + (xy 119.168748 89.549988) + (xy 119.175423 89.550832) + (xy 119.182197 89.551344) + (xy 119.18906 89.551516) + (xy 119.195922 89.551344) + (xy 119.202696 89.550832) + (xy 119.209371 89.549988) + (xy 119.21594 89.548822) + (xy 119.222394 89.547341) + (xy 119.228724 89.545553) + (xy 119.234923 89.543467) + (xy 119.240982 89.541091) + (xy 119.246892 89.538434) + (xy 119.252646 89.535502) + (xy 119.258234 89.532306) + (xy 119.263648 89.528852) + (xy 119.268881 89.52515) + (xy 119.273922 89.521207) + (xy 119.278765 89.517032) + (xy 119.2834 89.512632) + (xy 119.28782 89.508017) + (xy 119.292015 89.503195) + (xy 119.295978 89.498173) + (xy 119.2997 89.49296) + (xy 119.303172 89.487565) + (xy 119.306387 89.481995) + (xy 119.309335 89.476258) + (xy 119.312009 89.470364) + (xy 119.3144 89.464319) + (xy 119.316499 89.458134) + (xy 119.318298 89.451815) + (xy 119.319789 89.445371) + (xy 119.320963 89.43881) + (xy 119.321812 89.432141) + (xy 119.322328 89.425371) + (xy 119.322502 89.418509) + (xy 119.322328 89.411646) + (xy 119.321812 89.404873) + (xy 119.320963 89.398198) + (xy 119.319789 89.391629) + (xy 119.318298 89.385175) + (xy 119.316499 89.378845) + (xy 119.3144 89.372646) + (xy 119.312009 89.366587) + (xy 119.309335 89.360677) + (xy 119.306387 89.354923) + (xy 119.303172 89.349335) + (xy 119.2997 89.343921) + (xy 119.295978 89.338689) + (xy 119.292015 89.333647) + (xy 119.28782 89.328804) + (xy 119.2834 89.324169) + (xy 119.278765 89.31975) + (xy 119.273922 89.315554) + (xy 119.268881 89.311592) + (xy 119.263648 89.30787) + (xy 119.258234 89.304397) + (xy 119.252646 89.301183) + (xy 119.246892 89.298235) + (xy 119.240982 89.295561) + (xy 119.234923 89.293171) + (xy 119.228724 89.291071) + (xy 119.222394 89.289272) + (xy 119.21594 89.287781) + (xy 119.209371 89.286607) + (xy 119.202696 89.285758) + (xy 119.195922 89.285242) + (xy 119.18906 89.285068) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "B.SilkS") (tstamp acb269c5-6383-47e8-b042-b3d394623776)) + (gr_line (start 115.470701 79.478583) (end 115.456163 79.477477) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp ad7d0186-8149-4ffe-9625-eeb8b420fbad)) + (gr_line (start 115.22071 79.945028) (end 115.229692 79.9554) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp ad9f9542-b6bf-446d-b0eb-21996d2543aa)) + (gr_line (start 115.678596 79.92302) (end 115.686027 79.91142) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp adae7806-a436-4f30-af73-fee5f0a966a4)) + (gr_line (start 115.52654 79.489979) (end 115.512963 79.48612) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp af19b7ba-3fb6-4176-95d2-028f35808729)) + (gr_line (start 115.686027 79.614875) (end 115.678596 79.603272) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp af986ed1-592a-436b-9781-cd6612da40ba)) + (gr_line (start 115.270251 79.992253) (end 115.28146 80.000218) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp b09c60bc-6604-48c9-9826-a28e88ca459f)) + (gr_line (start 115.643703 79.965326) (end 115.653166 79.9554) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp b2297098-9872-4091-b6a0-a3f67791865f)) + (gr_line (start 115.343026 80.03167) (end 115.356318 80.03616) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp b317fab5-4270-46f9-b7a5-df4329a11853)) + (gr_line (start 115.704934 79.874445) (end 115.710048 79.861455) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp b4749fb6-fe77-4b14-97f3-e53e8d8fad7d)) + (gr_line (start 115.270251 79.533977) (end 115.259452 79.542472) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp b5262998-c97b-404f-92e6-52d159bc55d3)) + (gr_line (start 110.780066 83.32259) (end 110.765524 83.323696) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp b52e700a-ad34-4175-b7cb-4d504a2feb27)) + (gr_line (start 107.726941 93.166141) (end 106.986512 93.864109) + (stroke (width 0.110049) (type solid)) (layer "B.SilkS") (tstamp b6915279-b40a-4be5-b00b-61d63e26adfb)) + (gr_line (start 110.965792 83.837366) (end 110.976597 83.828883) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp b776cab6-9321-46ca-8dae-054e84130dd5)) + (gr_line (start 110.530929 83.719557) (end 110.536665 83.732227) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp b7e6b017-5623-49bb-928e-aa81f00eeb5c)) + (gr_line (start 111.079267 83.637436) (end 111.080373 83.622898) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp b9a4dacd-7994-4abd-8398-6a76176c49d8)) + (gr_line (start 115.155483 79.763052) (end 115.155854 79.777785) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp b9c4e409-7f38-4d1f-98e7-009db39898de)) + (gr_line (start 115.164472 79.691662) (end 115.161283 79.705486) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp ba24f306-bf1d-4885-bc09-ffa24fecc3a7)) + (gr_line (start 110.634694 83.371111) (end 110.623466 83.37909) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp baa83fec-f43b-4ad4-b0e2-94515616e71c)) + (gr_line (start 115.612607 79.992253) (end 115.623406 79.98377) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp bae18576-d275-461d-bffd-4357b0a9be23)) + (gr_line (start 115.727004 79.777785) (end 115.727375 79.763052) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp bbb13da1-0b22-4063-b785-49caa694df52)) + (gr_line (start 115.183644 79.639177) (end 115.177924 79.651841) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp bbd6a2db-cd48-4dc3-9fb5-f27bce5e6f7e)) + (gr_line (start 110.954579 83.371111) (end 110.942976 83.363666) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp bbe4dcbd-f3fb-479d-976a-0bf348b42572)) + (gr_line (start 111.015379 83.426367) (end 111.006386 83.415987) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp bd00f3f2-dcd5-4708-bd5a-2c27c342a932)) + (gr_line (start 110.976597 83.387585) (end 110.965792 83.37909) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp bd50c42e-464a-4b71-8bf5-90c1b345dbe6)) + (gr_line (start 115.721574 79.820741) (end 115.724085 79.806648) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp bf464729-ca3b-42fb-8592-6717e213fc3e)) + (gr_line (start 115.577823 79.511661) (end 115.565492 79.505339) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp bf720755-ed66-4cf6-800e-66a823e423f5)) + (gr_line (start 110.852366 83.328036) (end 110.838297 83.325517) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp c064c144-5c69-4c1c-a3ba-98935572816f)) + (gr_line (start 115.212227 79.934229) (end 115.22071 79.945028) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp c0d1c470-bd77-451d-810e-a62c7408fc1f)) + (gr_line (start 111.074927 83.665854) (end 111.077446 83.651761) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp c2e6d251-ccec-4a17-8ac6-80f9d84303ce)) + (gr_line (start 115.156957 79.733851) (end 115.155854 79.748356) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp c4bff87f-2796-41a9-8ae6-8efc03d39e2a)) + (gr_line (start 115.156957 79.792323) (end 115.158772 79.806647) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp c66750bf-9546-418d-ba09-97b7b85d5d0e)) + (gr_line (start 110.670654 83.350452) (end 110.658306 83.356774) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp c6f6a95e-4aef-4089-ab27-101cd616a8c4)) + (gr_line (start 111.023874 83.437172) (end 111.015379 83.426367) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp c713635f-9b78-4e72-8136-13f8f406f04b)) + (gr_line (start 111.052511 83.732227) (end 111.058244 83.719558) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp ca1d1d24-91ee-4981-a3fa-e3da07b5801f)) + (gr_line (start 115.229692 79.570874) (end 115.22071 79.581254) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp ca3382ef-f972-4c6f-a316-39f1ccb2f7c5)) + (gr_line (start 115.710048 79.861455) (end 115.714538 79.848162) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp ca5921f0-3e45-4188-80ff-3c1436224676)) + (gr_line (start 115.293061 79.518553) (end 115.28146 79.525998) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp cc785d01-3b8d-4d78-acc3-6fee96eb18fb)) + (gr_line (start 115.155854 79.748356) (end 115.155483 79.763052) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp cd54b30c-2240-4416-a98f-a525b96aca4e)) + (gr_line (start 115.721574 79.705486) (end 115.718386 79.691662) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp ce310ffd-b423-4a57-a803-dbda3cb852d0)) + (gr_line (start 111.046189 83.744558) (end 111.052511 83.732227) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp cfb3c730-2d25-4ebe-9ec7-1d8fec67270e)) + (gr_line (start 111.080746 83.608165) (end 111.080373 83.59347) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp d0f69ab0-add2-4576-a8db-5bad641674fe)) + (gr_line (start 110.89303 83.339593) (end 110.879751 83.335092) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp d0f95eda-2e18-45bd-90e3-cac75b05e85c)) + (gr_line (start 111.023874 83.779342) (end 111.031853 83.768133) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp d18b4f95-79c9-402b-94e4-91c508b602fc)) + (gr_line (start 115.164472 79.834585) (end 115.16832 79.848162) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp d1cd4ed0-cd53-4894-acee-878cde2487d1)) + (gr_line (start 110.86619 83.885121) (end 110.879751 83.881273) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp d27f17d1-894a-4085-bae7-3bfb7de9612a)) + (gr_line (start 110.573824 83.790141) (end 110.582825 83.800512) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp d43101cd-f99b-4139-bac0-d929888e83dd)) + (gr_line (start 111.079267 83.578965) (end 111.077446 83.564669) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp d4fef8d0-e93e-409b-9471-a563cdfed8b2)) + (gr_line (start 111.07173 83.536776) (end 111.067871 83.523215) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp d5b228e5-f4d1-4b7f-b5e1-63f15c7f01d8)) + (gr_line (start 115.28146 80.000218) (end 115.293061 80.007649) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp d64e4e27-1fe0-4338-9ce0-e7e133e04ce0)) + (gr_line (start 115.19683 79.614875) (end 115.189952 79.626849) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp d743a509-14eb-4341-b326-c7b9da80b0c9)) + (gr_line (start 110.976597 83.828883) (end 110.986977 83.819902) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp d74dda98-1a53-4bcc-8771-291ad1ad604b)) + (gr_line (start 110.986977 83.396578) (end 110.976597 83.387585) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp d811b46a-8842-4c30-9000-6a1cc97e81ac)) + (gr_line (start 113.076307 86.975404) (end 113.070674 86.984932) + (stroke (width 0.110049) (type solid)) (layer "B.SilkS") (tstamp d934141e-3d28-445a-a1aa-4e9615e22c1f)) + (gr_line (start 115.356318 80.03616) (end 115.369896 80.040008) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp daeeaaef-30d4-4348-ba30-d5a6d01f57e4)) + (gr_line (start 115.539833 79.49448) (end 115.52654 79.489979) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp dafdeb29-c000-4d83-81b3-8cc81f5ac035)) + (gr_line (start 115.710048 79.664821) (end 115.704934 79.651841) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp db79cced-78cc-457f-a07a-92d561405eaa)) + (gr_line (start 111.006386 83.415987) (end 110.996912 83.406052) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp db87a65f-cf81-497b-9881-e0c6c7446a25)) + (gr_line (start 110.723238 83.885121) (end 110.737092 83.88831) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp dc09b30f-189c-4eac-b4d9-237e2f9d786a)) + (gr_line (start 111.077446 83.651761) (end 111.079267 83.637436) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp dc3bcf77-3c89-47e1-9dd9-0e2a62b61d33)) + (gr_line (start 110.723238 83.331233) (end 110.709649 83.335092) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp dfc9fa2e-4a48-4a6c-ab85-e879a452edfe)) + (gr_line (start 110.530929 83.496954) (end 110.5258 83.509935) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp e01191a1-e39f-42d0-bbca-6ba57872f936)) + (gr_line (start 115.589797 79.518553) (end 115.577823 79.511661) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp e12b27d0-fd80-4698-8c26-b628dbf3f3df)) + (gr_line (start 110.683339 83.871669) (end 110.696344 83.876783) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp e14ca3c4-8dd6-4f27-ae11-1dcff02ba36c)) + (gr_line (start 110.838297 83.325517) (end 110.824001 83.323696) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp e224a669-15d6-469f-b1a1-2c7204d2cbeb)) + (gr_line (start 110.592309 83.810439) (end 110.602255 83.819901) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp e2e37dbb-ac69-4248-8da5-15e9ee67d807)) + (gr_line (start 110.918674 83.350452) (end 110.906011 83.344719) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp e39fcda9-f018-4010-9d77-bdce498ed464)) + (gr_poly + (pts + (xy 108.071861 92.589798) + (xy 108.059512 92.590736) + (xy 108.047343 92.592281) + (xy 108.03537 92.594418) + (xy 108.023607 92.597131) + (xy 108.012071 92.600406) + (xy 108.000775 92.604227) + (xy 107.989736 92.608579) + (xy 107.978968 92.613447) + (xy 107.968488 92.618816) + (xy 107.958309 92.624671) + (xy 107.948448 92.630996) + (xy 107.938919 92.637776) + (xy 107.929739 92.644997) + (xy 107.920921 92.652642) + (xy 107.912481 92.660698) + (xy 107.904435 92.669148) + (xy 107.896798 92.677978) + (xy 107.889584 92.687173) + (xy 107.88281 92.696716) + (xy 107.87649 92.706594) + (xy 107.87064 92.716791) + (xy 107.865275 92.727291) + (xy 107.860409 92.738081) + (xy 107.856059 92.749144) + (xy 107.85224 92.760465) + (xy 107.848967 92.772029) + (xy 107.846254 92.783822) + (xy 107.844118 92.795827) + (xy 107.842573 92.80803) + (xy 107.841635 92.820416) + (xy 107.841319 92.832969) + (xy 107.841635 92.845483) + (xy 107.842573 92.857832) + (xy 107.844118 92.870001) + (xy 107.846254 92.881974) + (xy 107.848967 92.893737) + (xy 107.85224 92.905273) + (xy 107.856059 92.916569) + (xy 107.860409 92.927608) + (xy 107.865275 92.938375) + (xy 107.87064 92.948856) + (xy 107.87649 92.959034) + (xy 107.88281 92.968896) + (xy 107.889584 92.978424) + (xy 107.896798 92.987605) + (xy 107.904435 92.996423) + (xy 107.912481 93.004863) + (xy 107.920921 93.012909) + (xy 107.929739 93.020546) + (xy 107.938919 93.027759) + (xy 107.948448 93.034534) + (xy 107.958309 93.040854) + (xy 107.968488 93.046704) + (xy 107.978968 93.052069) + (xy 107.989736 93.056934) + (xy 108.000775 93.061284) + (xy 108.012071 93.065104) + (xy 108.023607 93.068377) + (xy 108.03537 93.07109) + (xy 108.047343 93.073226) + (xy 108.059512 93.074771) + (xy 108.071861 93.075709) + (xy 108.084375 93.076025) + (xy 108.096929 93.075709) + (xy 108.109314 93.074771) + (xy 108.121518 93.073226) + (xy 108.133523 93.07109) + (xy 108.145316 93.068377) + (xy 108.15688 93.065104) + (xy 108.168201 93.061284) + (xy 108.179264 93.056934) + (xy 108.190053 93.052069) + (xy 108.200554 93.046704) + (xy 108.210751 93.040854) + (xy 108.220629 93.034534) + (xy 108.230172 93.027759) + (xy 108.239367 93.020546) + (xy 108.248197 93.012909) + (xy 108.256647 93.004863) + (xy 108.264702 92.996423) + (xy 108.272348 92.987605) + (xy 108.279569 92.978424) + (xy 108.286349 92.968896) + (xy 108.292674 92.959034) + (xy 108.298529 92.948856) + (xy 108.303898 92.938375) + (xy 108.308766 92.927608) + (xy 108.313118 92.916569) + (xy 108.316939 92.905273) + (xy 108.320214 92.893737) + (xy 108.322927 92.881974) + (xy 108.325064 92.870001) + (xy 108.326609 92.857832) + (xy 108.327547 92.845483) + (xy 108.327863 92.832969) + (xy 108.327547 92.820416) + (xy 108.326609 92.80803) + (xy 108.325064 92.795827) + (xy 108.322927 92.783822) + (xy 108.320214 92.772029) + (xy 108.316939 92.760465) + (xy 108.313118 92.749144) + (xy 108.308766 92.738081) + (xy 108.303898 92.727291) + (xy 108.298529 92.716791) + (xy 108.292674 92.706594) + (xy 108.286349 92.696716) + (xy 108.279569 92.687173) + (xy 108.272348 92.677978) + (xy 108.264702 92.669148) + (xy 108.256647 92.660698) + (xy 108.248197 92.652642) + (xy 108.239367 92.644997) + (xy 108.230172 92.637776) + (xy 108.220629 92.630996) + (xy 108.210751 92.624671) + (xy 108.200554 92.618816) + (xy 108.190053 92.613447) + (xy 108.179264 92.608579) + (xy 108.168201 92.604227) + (xy 108.15688 92.600406) + (xy 108.145316 92.597131) + (xy 108.133523 92.594418) + (xy 108.121518 92.592281) + (xy 108.109314 92.590736) + (xy 108.096929 92.589798) + (xy 108.084375 92.589482) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "B.SilkS") (tstamp e41c0623-f92a-4950-8a66-edd1088ba8b2)) + (gr_line (start 110.612647 83.828883) (end 110.623466 83.837366) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp e4cdc5e5-4166-403e-a3bb-c6a897ae147c)) + (gr_line (start 110.602255 83.819901) (end 110.612647 83.828883) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp e50d4aea-52da-464b-ba33-f0a0761af120)) + (gr_line (start 115.565492 79.505339) (end 115.552822 79.499606) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp e7e68b32-b670-4270-8dcf-5b425c0a492c)) + (gr_line (start 115.28146 79.525998) (end 115.270251 79.533977) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp e7ee5bf2-420f-44b2-9a72-5ff659d695c2)) + (gr_line (start 113.070674 86.984932) (end 114.778121 84.124168) + (stroke (width 0.110049) (type solid)) (layer "B.SilkS") (tstamp e8284e07-70d6-4124-9ecb-ae45b3ec76ff)) + (gr_line (start 114.592255 83.552274) (end 111.533928 82.249919) + (stroke (width 0.110049) (type solid)) (layer "B.SilkS") (tstamp e897fc98-b6fa-4429-b909-8a688d60b075)) + (gr_line (start 115.512963 79.48612) (end 115.499119 79.482923) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp e9f1f9e8-20e6-4dc5-b13e-dcda89c1dbdd)) + (gr_line (start 115.737768 83.612928) (end 115.748606 83.611197) + (stroke (width 0.110049) (type solid)) (layer "B.SilkS") (tstamp eaba579d-d3ca-44d3-9e4f-e219e94f7f2c)) + (gr_line (start 110.709649 83.881273) (end 110.723238 83.885121) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp eb3da681-77e2-4b0d-9c9b-df86cebe2b51)) + (gr_line (start 110.838297 83.890821) (end 110.852366 83.88831) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp ec337f88-04f1-4f56-94bb-8d82d0de0ebb)) + (gr_line (start 110.737092 83.88831) (end 110.751194 83.890821) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp ec9e9900-bb2a-4d87-a244-a7e702172918)) + (gr_line (start 115.229692 79.9554) (end 115.239154 79.965326) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp eca210c2-c0b2-464c-b894-42f8fab7925e)) + (gr_line (start 115.24908 79.974788) (end 115.259452 79.98377) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp eebf4069-f00a-4132-8ca8-3f910621e949)) + (gr_line (start 115.653166 79.570874) (end 115.643703 79.560939) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp eee3c7ee-b361-4421-9e41-2609ccee4ca8)) + (gr_line (start 115.499119 79.482923) (end 115.485026 79.480404) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp ef58705a-14e4-4d66-8bbf-ca43b2a20656)) + (gr_line (start 111.06337 83.509935) (end 111.058244 83.496954) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp f0051ce6-c427-4710-ab62-992d87f6cb28)) + (gr_line (start 115.725901 79.733851) (end 115.724085 79.719555) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp f08fdbdc-9d3b-4ec8-ac76-03040558dc6e)) + (gr_line (start 107.719144 93.173507) (end 107.726941 93.166141) + (stroke (width 0.110049) (type solid)) (layer "B.SilkS") (tstamp f1956ea8-3e04-4170-85a6-555520c69b5a)) + (gr_line (start 110.565321 83.437172) (end 110.557336 83.448385) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp f2d74715-66c5-4757-b986-4fdeef599739)) + (gr_poly + (pts + (xy 120.664269 88.271909) + (xy 120.655701 88.27256) + (xy 120.647256 88.273631) + (xy 120.638945 88.275112) + (xy 120.630778 88.276993) + (xy 120.622766 88.279264) + (xy 120.61492 88.281914) + (xy 120.60725 88.284931) + (xy 120.599768 88.288307) + (xy 120.592484 88.292031) + (xy 120.585408 88.296091) + (xy 120.578552 88.300478) + (xy 120.571925 88.305181) + (xy 120.56554 88.310189) + (xy 120.559406 88.315493) + (xy 120.553534 88.321081) + (xy 120.547935 88.326943) + (xy 120.542619 88.33307) + (xy 120.537598 88.339449) + (xy 120.532882 88.346071) + (xy 120.528482 88.352925) + (xy 120.524408 88.360002) + (xy 120.520672 88.367289) + (xy 120.517283 88.374777) + (xy 120.514252 88.382456) + (xy 120.511592 88.390315) + (xy 120.509311 88.398343) + (xy 120.50742 88.40653) + (xy 120.505931 88.414866) + (xy 120.504855 88.42334) + (xy 120.504201 88.431941) + (xy 120.503981 88.440659) + (xy 120.504201 88.449339) + (xy 120.504855 88.457907) + (xy 120.505931 88.466352) + (xy 120.50742 88.474664) + (xy 120.509311 88.482831) + (xy 120.511592 88.490842) + (xy 120.514252 88.498688) + (xy 120.517283 88.506358) + (xy 120.520672 88.51384) + (xy 120.524408 88.521125) + (xy 120.528482 88.5282) + (xy 120.532882 88.535057) + (xy 120.537598 88.541683) + (xy 120.542619 88.548068) + (xy 120.547935 88.554202) + (xy 120.553534 88.560074) + (xy 120.559406 88.565673) + (xy 120.56554 88.570989) + (xy 120.571925 88.57601) + (xy 120.578552 88.580726) + (xy 120.585408 88.585126) + (xy 120.592484 88.5892) + (xy 120.599768 88.592937) + (xy 120.60725 88.596325) + (xy 120.61492 88.599356) + (xy 120.622766 88.602017) + (xy 120.630778 88.604297) + (xy 120.638945 88.606188) + (xy 120.647256 88.607677) + (xy 120.655701 88.608753) + (xy 120.664269 88.609407) + (xy 120.672949 88.609627) + (xy 120.681667 88.609407) + (xy 120.690268 88.608753) + (xy 120.698742 88.607677) + (xy 120.707078 88.606188) + (xy 120.715265 88.604297) + (xy 120.723293 88.602017) + (xy 120.731152 88.599356) + (xy 120.73883 88.596325) + (xy 120.746319 88.592937) + (xy 120.753606 88.5892) + (xy 120.760682 88.585126) + (xy 120.767537 88.580726) + (xy 120.774159 88.57601) + (xy 120.780538 88.570989) + (xy 120.786664 88.565673) + (xy 120.792527 88.560074) + (xy 120.798115 88.554202) + (xy 120.803418 88.548068) + (xy 120.808427 88.541683) + (xy 120.81313 88.535057) + (xy 120.817517 88.5282) + (xy 120.821577 88.521125) + (xy 120.8253 88.51384) + (xy 120.828676 88.506358) + (xy 120.831694 88.498688) + (xy 120.834344 88.490842) + (xy 120.836614 88.482831) + (xy 120.838496 88.474664) + (xy 120.839977 88.466352) + (xy 120.841048 88.457907) + (xy 120.841698 88.449339) + (xy 120.841918 88.440659) + (xy 120.841698 88.431941) + (xy 120.841048 88.42334) + (xy 120.839977 88.414866) + (xy 120.838496 88.40653) + (xy 120.836614 88.398343) + (xy 120.834344 88.390315) + (xy 120.831694 88.382456) + (xy 120.828676 88.374777) + (xy 120.8253 88.367289) + (xy 120.821577 88.360002) + (xy 120.817517 88.352925) + (xy 120.81313 88.346071) + (xy 120.808427 88.339449) + (xy 120.803418 88.33307) + (xy 120.798115 88.326943) + (xy 120.792527 88.321081) + (xy 120.786664 88.315493) + (xy 120.780538 88.310189) + (xy 120.774159 88.305181) + (xy 120.767537 88.300478) + (xy 120.760682 88.296091) + (xy 120.753606 88.292031) + (xy 120.746319 88.288307) + (xy 120.73883 88.284931) + (xy 120.731152 88.281914) + (xy 120.723293 88.279264) + (xy 120.715265 88.276993) + (xy 120.707078 88.275112) + (xy 120.698742 88.273631) + (xy 120.690268 88.27256) + (xy 120.681667 88.271909) + (xy 120.672949 88.27169) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "B.SilkS") (tstamp f31139df-229e-4fb4-b99c-47b158b724c5)) + (gr_line (start 115.204262 79.92302) (end 115.212227 79.934229) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp f3930cbe-0fbc-4d5c-9e01-17f020a696c9)) + (gr_line (start 110.549886 83.756532) (end 110.557336 83.768133) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp f5252862-ddf5-473d-964c-62092deeae34)) + (gr_line (start 115.467424 83.658855) (end 115.748606 83.611197) + (stroke (width 0.110049) (type solid)) (layer "B.SilkS") (tstamp f857f7f4-00f2-4ab8-bfcb-97cd837ab5eb)) + (gr_line (start 115.565492 80.020836) (end 115.577823 80.014528) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp f91c43fa-7e61-490d-ab8f-8760ad1be3cc)) + (gr_line (start 111.074927 83.5506) (end 111.07173 83.536776) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp f9d8a060-2bf7-459b-91e1-afd116cad3a0)) + (gr_line (start 115.478261 83.657124) (end 115.467424 83.658855) + (stroke (width 0.110049) (type solid)) (layer "B.SilkS") (tstamp fa302cba-2771-4d57-9558-9b74845261b9)) + (gr_line (start 110.602255 83.396578) (end 110.592309 83.406052) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp fb21f8b2-2919-4f67-a818-c98837ea1103)) + (gr_line (start 110.852366 83.88831) (end 110.86619 83.885121) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp fc94810c-b913-4047-8741-fa17387da758)) + (gr_line (start 111.077446 83.564669) (end 111.074927 83.5506) + (stroke (width 0.056608) (type solid)) (layer "B.SilkS") (tstamp ff1abfd2-1fb1-43f2-b080-c50f02dac8fa)) + (gr_line (start 116.479068 83.543166) (end 116.467804 83.54361) + (stroke (width 0.110049) (type solid)) (layer "B.SilkS") (tstamp ff5595a6-3573-443e-b6cb-a530d554dca2)) + (gr_line (start 121.090604 88.510842) (end 121.07934 88.509114) + (stroke (width 0.110049) (type solid)) (layer "B.SilkS") (tstamp ff7620d1-4d8f-4b82-bcb7-b7a1e62e348d)) + (gr_rect (start 132.588 82.55) (end 138.938 95.25) + (stroke (width 0.15) (type solid)) (fill solid) (layer "F.Mask") (tstamp 59e3ce40-6a1f-47fc-ae28-11de4703c928)) + (gr_rect (start 167.386 95.377) (end 180.086 109.855) + (stroke (width 0.15) (type solid)) (fill solid) (layer "F.Mask") (tstamp 8972a847-550c-4c0c-8e31-5d8c0b76efcd)) + (gr_rect (start 165.608 89.408) (end 181.61 95.25) + (stroke (width 0.15) (type solid)) (fill solid) (layer "F.Mask") (tstamp be1cb410-512b-4052-ba2c-7d0d52d265e9)) + (gr_rect (start 165.608 82.5246) (end 181.61 89.408) + (stroke (width 0.15) (type solid)) (fill solid) (layer "F.Mask") (tstamp bfaf072f-7e20-4180-98a9-b87fc93c472e)) + (gr_rect (start 132.588 75.692) (end 181.61 82.55) + (stroke (width 0.15) (type solid)) (fill solid) (layer "F.Mask") (tstamp df00e7e8-e12b-48d8-9932-490aa9281fea)) + (gr_rect (start 169.672 109.728) (end 177.546 142.494) + (stroke (width 0.15) (type solid)) (fill solid) (layer "F.Mask") (tstamp e71e626c-4dd9-4094-99d7-b9aa485e71ab)) + (gr_arc locked (start 90 158) (mid 96.363961 160.636039) (end 99 167) + (stroke (width 0.1) (type default)) (layer "Edge.Cuts") (tstamp 1399bc16-6ea5-4edc-bcd7-d5e3ca7e1656)) + (gr_line locked (start 99 68) (end 175 68) + (stroke (width 0.1) (type default)) (layer "Edge.Cuts") (tstamp 16f9b759-01e7-4c2a-8451-f0e352713f13)) + (gr_circle locked (center 172.466 72.136) (end 174.466 72.136) + (stroke (width 0.1) (type default)) (fill none) (layer "Edge.Cuts") (tstamp 3626cf64-ec63-4577-8b0e-c74e5da4f9ac)) + (gr_line locked (start 175 167) (end 99 167) + (stroke (width 0.1) (type default)) (layer "Edge.Cuts") (tstamp 53b224be-8550-4629-85a8-de9066e646e9)) + (gr_line locked (start 184 77) (end 184 158) + (stroke (width 0.1) (type default)) (layer "Edge.Cuts") (tstamp 6a6c270a-bd05-4fef-82aa-b9139ef817d7)) + (gr_circle locked (center 101.346 163.576) (end 103.346 163.576) + (stroke (width 0.1) (type default)) (fill none) (layer "Edge.Cuts") (tstamp 6d22b49e-d88c-4bed-841b-ebe1e0922c41)) + (gr_arc locked (start 175 167) (mid 177.636039 160.636039) (end 184 158) + (stroke (width 0.1) (type default)) (layer "Edge.Cuts") (tstamp 93e8c708-b0ce-4d50-a45a-901e63bf99a9)) + (gr_circle locked (center 101.346 72.136) (end 103.346 72.136) + (stroke (width 0.1) (type default)) (fill none) (layer "Edge.Cuts") (tstamp bf653872-8ca6-4bcb-8b20-d33512a11649)) + (gr_arc locked (start 184 77) (mid 177.636039 74.363961) (end 175 68) + (stroke (width 0.1) (type default)) (layer "Edge.Cuts") (tstamp c9efc54e-1eee-440e-85af-3f8816750f57)) + (gr_arc locked (start 99 68) (mid 96.363961 74.363961) (end 90 77) + (stroke (width 0.1) (type default)) (layer "Edge.Cuts") (tstamp cb944105-9552-4b22-9aa9-431f3a345283)) + (gr_circle locked (center 172.466 163.576) (end 174.466 163.576) + (stroke (width 0.1) (type default)) (fill none) (layer "Edge.Cuts") (tstamp ddb45b2a-1ee4-4f35-9af5-3b1a501abd83)) + (gr_line locked (start 90 158) (end 90 77) + (stroke (width 0.1) (type default)) (layer "Edge.Cuts") (tstamp e627baec-6637-4ac1-a58e-007f52733fc3)) + + (segment (start 144.272 111.252) (end 144.272 112.268) (width 0.8) (layer "F.Cu") (net 1) (tstamp 1c8d1cff-0165-4b68-ba3c-e72e0a41821d)) + (segment (start 146.364 109.16) (end 145.288 110.236) (width 0.25) (layer "F.Cu") (net 1) (tstamp 500a24de-4144-4e68-9fc7-87ca5871dc2f)) + (segment (start 146.364 109.16) (end 144.272 111.252) (width 0.8) (layer "F.Cu") (net 1) (tstamp affdcbcf-6022-4ad0-9a69-1eccc058a59c)) + (segment (start 147.828 109.16) (end 146.364 109.16) (width 0.25) (layer "F.Cu") (net 1) (tstamp baa91713-cc85-4996-a7ba-faf3c4312e8c)) + (segment (start 147.061 108.393) (end 147.061 105.41) (width 1.6) (layer "F.Cu") (net 1) (tstamp fb12a453-cac4-4ae1-be87-61d52da8105f)) + (segment (start 147.828 109.16) (end 147.061 108.393) (width 1.6) (layer "F.Cu") (net 1) (tstamp fdab1adf-5d61-4510-9015-07a94593c2c4)) + (via (at 144.272 112.268) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 1c60a60d-7e06-40d0-ada0-0ad5a1bcc7c4)) + (via (at 145.288 110.236) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp e5d4a768-9603-4bc4-a013-9a79782718b0)) + (segment (start 150.067 90.3525) (end 150.109 90.3945) (width 0.25) (layer "B.Cu") (net 1) (tstamp 0e86ba97-f2aa-4c88-b0bf-be26a0440cf2)) + (segment (start 144.272 118.11) (end 144.272 112.268) (width 0.8) (layer "B.Cu") (net 1) (tstamp 1a5f1dc1-017e-4835-bb4b-f84bfc85d788)) + (segment (start 146.304 104.653) (end 147.061 105.41) (width 0.25) (layer "B.Cu") (net 1) (tstamp 2459d967-4f8b-4b3b-96ab-ae9ce7fac5f9)) + (segment (start 154.2195 91.44) (end 154.069 91.5905) (width 0.25) (layer "B.Cu") (net 1) (tstamp 2596a17e-6349-4426-a2f1-45ee49d1bcc6)) + (segment (start 136.398 154.94) (end 136.398 157.6335) (width 0.25) (layer "B.Cu") (net 1) (tstamp 31031c74-bc12-4297-8388-cad49420c74c)) + (segment (start 146.304 93.472) (end 146.304 104.653) (width 0.25) (layer "B.Cu") (net 1) (tstamp 3de33f85-2507-4eff-be28-bc2de3ea6624)) + (segment (start 146.186238 109.337762) (end 145.288 110.236) (width 0.25) (layer "B.Cu") (net 1) (tstamp 3ea41403-23de-4f37-978f-1e2b42e90b5e)) + (segment (start 146.064 145.274) (end 137.668 153.67) (width 0.25) (layer "B.Cu") (net 1) (tstamp 5b187807-63a9-4a67-bd21-575ae12eda57)) + (segment (start 145.3265 119.888) (end 146.064 120.6255) (width 0.8) (layer "B.Cu") (net 1) (tstamp 68ed24e7-e25e-43d9-a1d1-7145e6203eb6)) + (segment (start 152.6955 92.964) (end 146.812 92.964) (width 0.25) (layer "B.Cu") (net 1) (tstamp 6fdef54a-2385-40e5-a91b-54399fc897f7)) + (segment (start 145.3265 119.1645) (end 144.272 118.11) (width 0.8) (layer "B.Cu") (net 1) (tstamp 9046f5dc-5c83-4ad5-ba6a-9880503c8f4c)) + (segment (start 146.334 109.337762) (end 146.186238 109.337762) (width 0.25) (layer "B.Cu") (net 1) (tstamp 9ddb29d1-c89e-40e3-a302-e7a664aed0fe)) + (segment (start 146.812 92.964) (end 146.304 93.472) (width 0.25) (layer "B.Cu") (net 1) (tstamp a73942fd-0ce4-4394-b349-a30612bf6ff5)) + (segment (start 137.668 153.67) (end 136.398 154.94) (width 0.25) (layer "B.Cu") (net 1) (tstamp b607a49f-df56-43bf-bfc9-8e935cb4bb12)) + (segment (start 145.3265 119.888) (end 145.3265 119.1645) (width 0.8) (layer "B.Cu") (net 1) (tstamp b6591dea-de5e-4998-b7ac-933449fcd77a)) + (segment (start 146.064 120.6255) (end 146.064 122.971738) (width 0.8) (layer "B.Cu") (net 1) (tstamp ce6055dc-e28e-4421-aa90-609f226499e0)) + (segment (start 154.069 91.5905) (end 152.6955 92.964) (width 0.25) (layer "B.Cu") (net 1) (tstamp d7502931-bb1b-41dc-bd77-1e4a7fa85cf5)) + (segment (start 150.109 90.3945) (end 152.873 90.3945) (width 0.25) (layer "B.Cu") (net 1) (tstamp dca6294f-e408-4555-a7f9-bfd475980c40)) + (segment (start 146.064 122.971738) (end 146.064 145.274) (width 0.25) (layer "B.Cu") (net 1) (tstamp ee8ef8d0-0491-4479-8d02-3f2c1270183d)) + (segment (start 152.873 90.3945) (end 154.069 91.5905) (width 0.25) (layer "B.Cu") (net 1) (tstamp f5e5f88f-a6fc-4394-8d8c-bf3601bb4fff)) + (segment (start 155.913 91.44) (end 154.2195 91.44) (width 0.25) (layer "B.Cu") (net 1) (tstamp fb755d45-c9c3-4da2-8fce-4327ed1e0998)) + (segment (start 168.786 93.98) (end 173.426 93.98) (width 0.8) (layer "F.Cu") (net 2) (tstamp 75bf7d94-8e5a-4fd5-a2e8-7ea42bcd598b)) + (segment (start 119.9685 133.858) (end 119.9685 135.9705) (width 0.25) (layer "F.Cu") (net 2) (tstamp 899f7296-9475-4fe6-9735-e84b45bee789)) + (segment (start 173.426 93.98) (end 173.482 94.036) (width 0.8) (layer "F.Cu") (net 2) (tstamp fc3f2a74-2fab-4ab8-b933-19fe22012f42)) + (segment (start 119.9685 135.9705) (end 120.015 136.017) (width 0.25) (layer "F.Cu") (net 2) (tstamp febb21c3-b638-4696-a096-09c939a68267)) + (via (at 120.015 136.017) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp b543dbb4-af46-4069-b2c8-4f5a556afdd3)) + (segment (start 122.6605 103.1025) (end 122.6605 101.444) (width 0.25) (layer "F.Cu") (net 3) (tstamp 02beaba8-e0b7-4990-b216-f0970b9e610c)) + (segment (start 122.556 103.207) (end 122.6605 103.1025) (width 0.25) (layer "F.Cu") (net 3) (tstamp 12e961b6-59aa-495d-a968-e53a4edc00a8)) + (segment (start 122.6605 101.444) (end 122.541 101.3245) (width 0.25) (layer "F.Cu") (net 3) (tstamp b16811b4-407c-4daa-b00e-62a175922def)) + (segment (start 122.556 106.1505) (end 122.556 103.207) (width 0.25) (layer "F.Cu") (net 3) (tstamp e0f695e8-0677-4c75-976a-df1da435ea1e)) + (segment (start 107.792 85.656) (end 107.792 84.556) (width 0.25) (layer "F.Cu") (net 4) (tstamp 3d89d9c5-941d-4088-8139-6f315c7768b4)) + (segment (start 104.8805 84.709) (end 104.902 84.6875) (width 0.25) (layer "F.Cu") (net 4) (tstamp 3f7b6c9e-433c-4ca9-b702-0948e831c18a)) + (segment (start 104.902 84.6875) (end 107.6605 84.6875) (width 0.25) (layer "F.Cu") (net 4) (tstamp 5cd0a505-b911-442d-aae6-aee447d4f3d5)) + (segment (start 102.221 84.709) (end 104.8805 84.709) (width 0.25) (layer "F.Cu") (net 4) (tstamp 7a5aae11-2583-44bd-a207-475a7a01f26d)) + (segment (start 107.6605 84.6875) (end 107.792 84.556) (width 0.25) (layer "F.Cu") (net 4) (tstamp 7c1d6d69-07a7-46ab-a882-394114f13e08)) + (segment (start 102.87 134.62) (end 96.012 134.62) (width 0.25) (layer "F.Cu") (net 5) (tstamp 0ab12f0f-a6d8-4d25-bc8e-31696554ebf4)) + (segment (start 103.5285 135.2785) (end 102.87 134.62) (width 0.25) (layer "F.Cu") (net 5) (tstamp 1246b443-ef81-48fd-a7ab-9c8a027554d7)) + (segment (start 123.8015 132.588) (end 123.8015 131.064) (width 0.25) (layer "F.Cu") (net 5) (tstamp 150c383d-6943-476d-a4a0-46b06654e5c8)) + (segment (start 130.715 138.463396) (end 128.778 136.526396) (width 0.25) (layer "F.Cu") (net 5) (tstamp 1a8897c0-a33f-4951-bb4f-408dd36a89a7)) + (segment (start 125.476 140.0575) (end 125.476 139.901) (width 0.25) (layer "F.Cu") (net 5) (tstamp 1b17f8c8-cf5c-4b0d-8c98-ca8c8421fc47)) + (segment (start 118.8935 101.3245) (end 118.872 101.346) (width 0.25) (layer "F.Cu") (net 5) (tstamp 22cce16d-55f2-4be9-b1cf-98fe71c0c46a)) + (segment (start 117.856 128.27) (end 122.174 128.27) (width 0.25) (layer "F.Cu") (net 5) (tstamp 265056ec-87dd-488c-a1e6-f38dcdb6565a)) + (segment (start 143.764 127.508) (end 143.764 125.984) (width 0.8) (layer "F.Cu") (net 5) (tstamp 29b75fab-cf2f-4559-aea6-d66dc79194be)) + (segment (start 114.3 101.346) (end 100.471 87.517) (width 0.8) (layer "F.Cu") (net 5) (tstamp 2a2ca49a-fe89-45d5-82c0-e94f0542761f)) + (segment (start 124.513 138.377) (end 124.714 138.176) (width 0.25) (layer "F.Cu") (net 5) (tstamp 32ac483c-a56c-4255-9637-ce718277eb24)) + (segment (start 119.38 161.036) (end 117.602 162.814) (width 0.25) (layer "F.Cu") (net 5) (tstamp 338eaa13-4272-4e31-8c83-1fd152f7b32f)) + (segment (start 113.538 146.970025) (end 114.34631 147.778335) (width 0.25) (layer "F.Cu") (net 5) (tstamp 3afb524e-fe77-441b-903e-27152d41ac89)) + (segment (start 103.5285 136.144) (end 103.5285 135.2785) (width 0.25) (layer "F.Cu") (net 5) (tstamp 48b1e65b-252f-4d40-b526-bba18aa8840e)) + (segment (start 123.952 139.3345) (end 123.2885 139.998) (width 0.25) (layer "F.Cu") (net 5) (tstamp 48f4450d-a23d-4793-9406-3524e412000f)) + (segment (start 113.03 158.5995) (end 113.2095 158.42) (width 0.25) (layer "F.Cu") (net 5) (tstamp 4b2eea78-6d9e-41bb-8186-c4a7ed34f469)) + (segment (start 122.174 128.27) (end 123.8015 129.8975) (width 0.25) (layer "F.Cu") (net 5) (tstamp 4bddd1b1-4b9a-43ea-89e2-a45819e9f686)) + (segment (start 125.476 139.901) (end 123.952 138.377) (width 0.25) (layer "F.Cu") (net 5) (tstamp 5514d08b-2dc9-4541-94e0-05da205b132a)) + (segment (start 129.54 146.319) (end 130.715 145.144) (width 0.25) (layer "F.Cu") (net 5) (tstamp 555cea52-85f5-43a6-a3ae-5766cab0eff4)) + (segment (start 115.457 130.669) (end 117.856 128.27) (width 0.25) (layer "F.Cu") (net 5) (tstamp 5a866f94-158d-47a3-bcb5-c6ff03792ba8)) + (segment (start 96.012 134.62) (end 96.012 136.097) (width 0.25) (layer "F.Cu") (net 5) (tstamp 5fe2ee60-ee77-458a-a2b4-a298f43c9fc5)) + (segment (start 113.538 146.9655) (end 113.538 146.9235) (width 0.25) (layer "F.Cu") (net 5) (tstamp 60de37a7-905c-4097-b025-1874ad9d6aff)) + (segment (start 102.87 136.8025) (end 102.87 139.7) (width 0.25) (layer "F.Cu") (net 5) (tstamp 645c2ad9-c506-4ae5-b116-348e9a7d05e1)) + (segment (start 123.3435 147.9285) (end 124.46 146.812) (width 0.25) (layer "F.Cu") (net 5) (tstamp 6472575e-e5a9-4c07-b2ae-9599f3a9bad1)) + (segment (start 96.012 136.097) (end 96.059 136.144) (width 0.25) (layer "F.Cu") (net 5) (tstamp 6680ff66-0f28-47a9-acc1-527726021b77)) + (segment (start 121.920004 149.351996) (end 121.920004 149.86) (width 0.25) (layer "F.Cu") (net 5) (tstamp 838dcc7a-7ce5-47c9-bdb3-72de07e44929)) + (segment (start 123.952 138.377) (end 124.513 138.377) (width 0.25) (layer "F.Cu") (net 5) (tstamp 84dc7e1b-fa31-4dee-99c6-65bb887138b1)) + (segment (start 123.8015 129.8975) (end 123.8015 131.064) (width 0.25) (layer "F.Cu") (net 5) (tstamp 88c8c1e3-cc7f-4f2c-95e9-c08408e9de01)) + (segment (start 123.0475 148.082) (end 121.876 146.9105) (width 0.25) (layer "F.Cu") (net 5) (tstamp 8e11b832-0eab-40c4-92f3-e3e96a591fc7)) + (segment (start 113.538 146.9655) (end 113.538 146.970025) (width 0.25) (layer "F.Cu") (net 5) (tstamp 90a5535e-f753-4314-a0ab-7947b39c002c)) + (segment (start 103.5285 136.144) (end 107.442008 132.230492) (width 0.25) (layer "F.Cu") (net 5) (tstamp 958c4fe5-f2e1-431c-b511-3a8a9b06fd2f)) + (segment (start 123.8015 132.588) (end 123.8015 134.7235) (width 0.25) (layer "F.Cu") (net 5) (tstamp 9b5037fc-8bdb-40dd-8737-dab5112aa641)) + (segment (start 128.509 145.288) (end 129.54 146.319) (width 0.25) (layer "F.Cu") (net 5) (tstamp 9ebc4bd8-d751-4d3e-ab1f-11442ce0a460)) + (segment (start 123.3435 148.082) (end 123.3435 147.9285) (width 0.25) (layer "F.Cu") (net 5) (tstamp a3daae2c-db78-41b7-b266-ee15aef5cf8e)) + (segment (start 130.715 145.144) (end 130.715 138.463396) (width 0.25) (layer "F.Cu") (net 5) (tstamp a5f2c11d-5e0b-4b5f-bcae-20908e5137d9)) + (segment (start 124.46 146.812) (end 121.920004 149.351996) (width 0.25) (layer "F.Cu") (net 5) (tstamp a7209ea4-614f-4428-b1ed-c4c46282ef16)) + (segment (start 107.442008 132.230492) (end 107.442008 131.572) (width 0.25) (layer "F.Cu") (net 5) (tstamp aeda7b15-5bf4-4cbb-9301-84fdc233426a)) + (segment (start 120.791 101.3245) (end 118.8935 101.3245) (width 0.25) (layer "F.Cu") (net 5) (tstamp b3ed0feb-acfa-46ab-9213-53708d231673)) + (segment (start 100.471 87.517) (end 100.471 84.709) (width 0.8) (layer "F.Cu") (net 5) (tstamp c2ed5811-ca66-4a45-a866-2ff2fca37a0b)) + (segment (start 118.872 101.346) (end 114.3 101.346) (width 0.8) (layer "F.Cu") (net 5) (tstamp c43a787e-978c-4ab0-9cc0-b40fa0a3986b)) + (segment (start 127 145.288) (end 128.509 145.288) (width 0.25) (layer "F.Cu") (net 5) (tstamp c64fea0e-a5e6-43ea-bd0c-85e14287691c)) + (segment (start 119.38 161.036) (end 117.6045 159.2605) (width 0.25) (layer "F.Cu") (net 5) (tstamp ce60c2d9-672c-4f79-a57b-29b98bdba072)) + (segment (start 103.5285 136.144) (end 102.87 136.8025) (width 0.25) (layer "F.Cu") (net 5) (tstamp ce6b76c4-4b1e-424d-be66-a0aab48c33f4)) + (segment (start 123.3435 148.082) (end 123.0475 148.082) (width 0.25) (layer "F.Cu") (net 5) (tstamp cf79ba89-69df-4053-b634-084cd3aeaefc)) + (segment (start 128.778 136.526396) (end 128.778 136.4365) (width 0.25) (layer "F.Cu") (net 5) (tstamp d48f194a-79bc-44a0-a9d5-ef70bed787fd)) + (segment (start 115.457 131.572) (end 115.457 130.669) (width 0.25) (layer "F.Cu") (net 5) (tstamp db417f58-b3d2-4ffe-a939-8b1563bf44f8)) + (segment (start 138.938 156.21) (end 131.318 148.59) (width 0.25) (layer "F.Cu") (net 5) (tstamp df7e2957-b03b-47b6-8b35-f10e1f52892d)) + (segment (start 123.8015 134.7235) (end 123.952 134.874) (width 0.25) (layer "F.Cu") (net 5) (tstamp e28934d5-df64-4718-96c7-9f36f02848c6)) + (segment (start 113.538 146.9235) (end 114.9635 145.498) (width 0.25) (layer "F.Cu") (net 5) (tstamp e521a211-2985-482d-a401-9c7775b28a0c)) + (segment (start 117.602 162.814) (end 117.602 164.592) (width 0.25) (layer "F.Cu") (net 5) (tstamp e8d9d01a-3453-44f0-9e9e-958fb2f031d3)) + (segment (start 143.3595 156.21) (end 138.938 156.21) (width 0.25) (layer "F.Cu") (net 5) (tstamp f5c3c507-13a8-4d8b-a6d5-36bb75d83155)) + (segment (start 114.3 131.572) (end 115.457 131.572) (width 0.25) (layer "F.Cu") (net 5) (tstamp f6ecc497-bc3f-4083-928a-385e26eae0f5)) + (segment (start 106.456 149.559) (end 105.664 149.559) (width 0.25) (layer "F.Cu") (net 5) (tstamp f84ad9d3-4220-4e32-be58-1c853db82324)) + (segment (start 113.2095 158.42) (end 117.6045 158.42) (width 0.25) (layer "F.Cu") (net 5) (tstamp f8db8146-cf10-4091-a18f-3a186fef2eac)) + (segment (start 117.6045 159.2605) (end 117.6045 158.42) (width 0.25) (layer "F.Cu") (net 5) (tstamp fa6b60fc-bbc7-43c8-9d7e-a5e1b4dc3cad)) + (segment (start 123.952 138.377) (end 123.952 139.3345) (width 0.25) (layer "F.Cu") (net 5) (tstamp fea19c9e-2fb8-44dd-84bc-afcee989208a)) + (segment (start 102.87 139.7) (end 101.6 140.97) (width 0.25) (layer "F.Cu") (net 5) (tstamp ff1308a3-fe4c-4647-b301-6913aa245ddf)) + (via (at 124.714 138.176) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 5) (tstamp 006ce44c-e15c-4859-b2e6-6c2b16a736a2)) + (via (at 128.778 136.4365) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 5) (tstamp 4d260940-346b-44e5-9fba-c8b88d633c79)) + (via (at 118.872 101.346) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 5) (tstamp 5c1801cf-ccb7-4795-b381-30b3f0781272)) + (via (at 127 145.288) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 5) (tstamp 6ca0dac1-af43-4edb-b91f-fb57e130967c)) + (via (at 114.34631 147.778335) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 5) (tstamp 6dc91b07-dc8f-4d2c-a8ba-e98dc7d45003)) + (via (at 121.920004 149.86) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 5) (tstamp 779bacdd-b6ad-4c8e-b981-384488d6c997)) + (via (at 123.952 134.874) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 5) (tstamp 88632282-5b44-4486-9bf7-1f4931403d77)) + (via (at 124.46 146.812) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 5) (tstamp 9fff44e6-7d44-4339-bfa3-a95d70934cdb)) + (via (at 131.318 148.59) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 5) (tstamp a1fa7e9e-d6b9-4f48-bb11-c24385c6036f)) + (via (at 114.3 131.572) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 5) (tstamp ab0848dc-a963-4bb1-8910-20d120037115)) + (via (at 105.664 149.559) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 5) (tstamp ac4a7bf9-02eb-4a39-81cb-58b65216e987)) + (via (at 101.6 140.97) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 5) (tstamp b54a7f17-832b-492d-8f8b-b941a035de5d)) + (via (at 96.012 134.62) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 5) (tstamp cfb038e7-b67d-49b4-bc6d-1e4ccf605a7b)) + (via (at 143.764 127.508) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 5) (tstamp e70f3960-0e6a-47d7-bc7f-03ee724b56cb)) + (via (at 107.442008 131.572) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 5) (tstamp f638b337-b131-4aee-9f7d-4725e3b438b0)) + (segment (start 100.6875 141.8825) (end 101.6 140.97) (width 0.25) (layer "B.Cu") (net 5) (tstamp 005e47c0-5077-4f53-9a6b-15998598f367)) + (segment (start 100.6875 150.114) (end 100.6875 152.908) (width 0.25) (layer "B.Cu") (net 5) (tstamp 04f22015-5cf4-4474-ac65-5818c0d22de2)) + (segment (start 100.6875 145.288) (end 100.6875 148.844) (width 0.25) (layer "B.Cu") (net 5) (tstamp 0add7466-de17-4776-8db9-18be935413bd)) + (segment (start 114.34631 147.778335) (end 113.484165 147.778335) (width 0.25) (layer "B.Cu") (net 5) (tstamp 0e4ce043-f7e6-419c-bc8c-80af4a0babf2)) + (segment (start 116.586 153.67) (end 115.062 155.194) (width 0.25) (layer "B.Cu") (net 5) (tstamp 112cb25a-9eb9-4dcd-ac7c-06840016d0df)) + (segment (start 139.7385 131.7875) (end 136.652 134.874) (width 0.8) (layer "B.Cu") (net 5) (tstamp 30769db1-3ac5-4c94-bf27-8411d11ca7ac)) + (segment (start 115.062 155.194) (end 115.062 155.8775) (width 0.25) (layer "B.Cu") (net 5) (tstamp 349b5ff7-7f7b-46db-8387-f5e14dbf46a2)) + (segment (start 125.476 146.812) (end 127 145.288) (width 0.25) (layer "B.Cu") (net 5) (tstamp 3934ad60-3985-4b82-aef6-8d01ed845180)) + (segment (start 92.418 125.9185) (end 92.418 132.7005) (width 0.25) (layer "B.Cu") (net 5) (tstamp 3ddf8566-3309-428f-8dc1-50a6714864b0)) + (segment (start 118.872 114.046) (end 118.872 101.346) (width 0.8) (layer "B.Cu") (net 5) (tstamp 3e3ef46d-ee56-48db-b23e-54f3895491a9)) + (segment (start 143.764 129.271738) (end 143.764 127.508) (width 0.8) (layer "B.Cu") (net 5) (tstamp 4120cd9f-c422-47fd-a3f6-46a460fb1fb5)) + (segment (start 114.34631 147.778335) (end 119.838339 147.778335) (width 0.25) (layer "B.Cu") (net 5) (tstamp 47fdad3e-f2d5-4578-b989-ce296a686ac8)) + (segment (start 126.492 149.4555) (end 126.492 148.844) (width 0.25) (layer "B.Cu") (net 5) (tstamp 486a6dc2-2202-4904-9e05-d38c22450c04)) + (segment (start 104.193 148.844) (end 104.908 149.559) (width 0.25) (layer "B.Cu") (net 5) (tstamp 5b8ee966-0556-4b39-bb2d-8b0825380e46)) + (segment (start 128.778 123.952) (end 121.412 116.586) (width 0.8) (layer "B.Cu") (net 5) (tstamp 5da969b7-1f50-46aa-940f-f4467ff74a75)) + (segment (start 123.952 134.874) (end 123.952 135.6745) (width 0.25) (layer "B.Cu") (net 5) (tstamp 6624c979-11b5-41b2-8103-fd059fa41f0f)) + (segment (start 104.908 149.559) (end 105.664 149.559) (width 0.25) (layer "B.Cu") (net 5) (tstamp 6ba7da22-ee16-423a-88b5-e03993fce632)) + (segment (start 121.920004 149.86) (end 118.110004 153.67) (width 0.25) (layer "B.Cu") (net 5) (tstamp 7052b7e1-65c9-447f-9a72-652b22a4e54d)) + (segment (start 123.952 135.6745) (end 124.714 136.4365) (width 0.25) (layer "B.Cu") (net 5) (tstamp 714f708e-240a-4ed5-8478-a3941a92753b)) + (segment (start 92.418 132.7005) (end 93.218 133.5005) (width 0.25) (layer "B.Cu") (net 5) (tstamp 772f7370-d9da-492a-bd7f-99af7bab68bf)) + (segment (start 121.412 116.586) (end 118.872 114.046) (width 0.8) (layer "B.Cu") (net 5) (tstamp 7c50f227-1ce5-4f06-90e7-5e709fb426ad)) + (segment (start 100.6875 145.288) (end 100.6875 141.8825) (width 0.25) (layer "B.Cu") (net 5) (tstamp 8658332f-0c21-494b-83c4-923d8467d06b)) + (segment (start 93.218 125.1185) (end 92.418 125.9185) (width 0.25) (layer "B.Cu") (net 5) (tstamp 8a4b462e-b373-478e-8dec-7bd6f9b2d5ae)) + (segment (start 132.08 134.874) (end 130.5175 136.4365) (width 0.8) (layer "B.Cu") (net 5) (tstamp 8d4b48d1-0ffc-40b7-ae67-458042036c04)) + (segment (start 124.714 138.176) (end 124.714 136.4365) (width 0.25) (layer "B.Cu") (net 5) (tstamp 969f358b-de74-4618-9bc5-36bd37204e14)) + (segment (start 126.492 148.844) (end 124.46 146.812) (width 0.25) (layer "B.Cu") (net 5) (tstamp 972f6616-de8e-43d7-aab1-d0d2778474b1)) + (segment (start 143.764 131.7875) (end 139.7385 131.7875) (width 0.8) (layer "B.Cu") (net 5) (tstamp a0fa4fe2-7a5d-4c6a-9834-58759d2fc76d)) + (segment (start 143.764 122.971738) (end 143.764 129.271738) (width 0.8) (layer "B.Cu") (net 5) (tstamp a1371758-be93-4887-af03-3678b90d9f38)) + (segment (start 119.838339 147.778335) (end 121.920004 149.86) (width 0.25) (layer "B.Cu") (net 5) (tstamp a5983273-20de-4530-8a39-98f92d4c78fc)) + (segment (start 113.484165 147.778335) (end 113.1805 148.082) (width 0.25) (layer "B.Cu") (net 5) (tstamp a5b63145-1f1b-4665-9bfc-29db9e8d689e)) + (segment (start 94.8925 133.5005) (end 96.012 134.62) (width 0.25) (layer "B.Cu") (net 5) (tstamp a8235452-8c38-45d2-9c68-ac9c7729c346)) + (segment (start 114.3 131.572) (end 107.442008 131.572) (width 0.25) (layer "B.Cu") (net 5) (tstamp b762e5db-b756-4c28-b2b1-2f5c9d3c1f97)) + (segment (start 115.062 155.8775) (end 117.6045 158.42) (width 0.25) (layer "B.Cu") (net 5) (tstamp b946584a-97fd-465e-9dbd-5e8311a0674a)) + (segment (start 118.110004 153.67) (end 116.586 153.67) (width 0.25) (layer "B.Cu") (net 5) (tstamp b980a595-cad8-4b41-9f51-15537671384e)) + (segment (start 124.46 146.812) (end 125.476 146.812) (width 0.25) (layer "B.Cu") (net 5) (tstamp baf8d73b-09ed-4472-b57a-bfd48d9e0ec0)) + (segment (start 128.778 136.4365) (end 128.778 123.952) (width 0.8) (layer "B.Cu") (net 5) (tstamp be88dcc9-974b-4e8c-8579-502c391f6ae7)) + (segment (start 93.218 116.4825) (end 92.418 117.2825) (width 0.25) (layer "B.Cu") (net 5) (tstamp c2b88ee5-32e5-4f10-bd09-faa85ca12cb3)) + (segment (start 136.652 134.874) (end 132.08 134.874) (width 0.8) (layer "B.Cu") (net 5) (tstamp cb1a4aa2-251d-4fa6-b2c8-a06e23c2864e)) + (segment (start 131.318 148.097) (end 129.54 146.319) (width 0.25) (layer "B.Cu") (net 5) (tstamp d587714f-f27a-40fb-a3e7-2ffcb8a552df)) + (segment (start 143.764 131.7875) (end 143.764 129.271738) (width 0.8) (layer "B.Cu") (net 5) (tstamp de1b68ea-1ca1-4c92-986d-0290867fc783)) + (segment (start 131.318 148.59) (end 131.318 148.097) (width 0.25) (layer "B.Cu") (net 5) (tstamp e23d0e47-0b6b-4d16-b0cc-22c06adcc9b0)) + (segment (start 92.418 124.3185) (end 93.218 125.1185) (width 0.25) (layer "B.Cu") (net 5) (tstamp e91ca499-dfd8-4146-9f06-0ecb6d9867c4)) + (segment (start 100.6875 148.844) (end 104.193 148.844) (width 0.25) (layer "B.Cu") (net 5) (tstamp ec33db03-0132-4028-a9c2-fe697243b979)) + (segment (start 100.6875 148.844) (end 100.6875 150.114) (width 0.25) (layer "B.Cu") (net 5) (tstamp f188b76f-b6be-464f-99b3-5aa2b2c7f593)) + (segment (start 92.418 117.2825) (end 92.418 124.3185) (width 0.25) (layer "B.Cu") (net 5) (tstamp f37c528c-4332-49e3-9361-e1dadbde5d16)) + (segment (start 93.218 133.5005) (end 94.8925 133.5005) (width 0.25) (layer "B.Cu") (net 5) (tstamp f4ca82d4-f2bc-4f2c-9ae6-a36bf19eea4b)) + (segment (start 130.5175 136.4365) (end 128.778 136.4365) (width 0.8) (layer "B.Cu") (net 5) (tstamp f61379be-0ea7-4429-aace-fd61b64eb361)) + (segment (start 128.778 136.4365) (end 124.714 136.4365) (width 0.8) (layer "B.Cu") (net 5) (tstamp f951220a-0eaf-4622-a399-6edc0a16071b)) + (segment (start 134.874 106.172) (end 134.8955 106.1505) (width 0.8) (layer "F.Cu") (net 6) (tstamp 10de5879-b5d5-426d-aef6-6d980f706eb9)) + (segment (start 134.874 108.9685) (end 134.874 106.172) (width 0.8) (layer "F.Cu") (net 6) (tstamp 2930f62c-ded7-4190-b68f-20d62ea3bfeb)) + (segment (start 138.938 109.0645) (end 138.842 108.9685) (width 0.25) (layer "F.Cu") (net 6) (tstamp 4c23a315-41e4-4175-a7c5-e84ce25a7393)) + (segment (start 134.8955 106.1505) (end 134.8955 103.354) (width 0.8) (layer "F.Cu") (net 6) (tstamp 870391ea-dfbd-4bb3-9478-2140d574aedb)) + (segment (start 138.842 108.9685) (end 134.874 108.9685) (width 0.25) (layer "F.Cu") (net 6) (tstamp aeb7d799-7d00-4304-b2e1-c811b5d3b597)) + (segment (start 134.8955 103.354) (end 134.874 103.3325) (width 0.8) (layer "F.Cu") (net 6) (tstamp d5acb3cd-b002-40c1-9a95-56ea4499ec0e)) + (segment (start 130.556 106.1505) (end 132.8205 106.1505) (width 0.8) (layer "F.Cu") (net 7) (tstamp 20f24cb9-7f84-4e50-a4df-ea8f8cc0f05f)) + (segment (start 130.556 106.1505) (end 130.556 103.1365) (width 0.8) (layer "F.Cu") (net 7) (tstamp 5a9648fd-e13c-4b7b-b8e6-a794b6cd5e74)) + (segment (start 140.97 113.366) (end 139.317 113.366) (width 0.25) (layer "F.Cu") (net 8) (tstamp 0843bc93-bdb9-430f-8c09-295ee70a8ae5)) + (segment (start 139.317 113.366) (end 139.192 113.241) (width 0.25) (layer "F.Cu") (net 8) (tstamp 34070480-e1b1-47c6-b0d3-3ceffb5f484b)) + (segment (start 138.938 111.3645) (end 138.938 112.987) (width 0.25) (layer "F.Cu") (net 8) (tstamp 36c2d069-f088-48d0-ba41-277157128394)) + (segment (start 138.938 112.987) (end 139.192 113.241) (width 0.25) (layer "F.Cu") (net 8) (tstamp e9751a1d-5228-4aa3-8e90-be29837611f9)) + (segment (start 147.32 87.006) (end 147.32 86.106) (width 0.25) (layer "F.Cu") (net 9) (tstamp 44990f31-8ed4-44df-a2fe-60a55c2023e5)) + (segment (start 147.422 87.108) (end 147.32 87.006) (width 0.25) (layer "F.Cu") (net 9) (tstamp 96d31873-8df3-4cde-b4fe-fe9531c6a99f)) + (via (at 147.32 86.106) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 9) (tstamp 79787de6-347b-4662-8e91-2b84fe062318)) + (segment (start 143.002 86.868) (end 143.764 86.106) (width 0.25) (layer "B.Cu") (net 9) (tstamp 0835d737-d8e3-49b9-aa69-71a41991a9f8)) + (segment (start 144.740249 90.3525) (end 143.002 88.614251) (width 0.25) (layer "B.Cu") (net 9) (tstamp 1719fa45-eab9-4be6-8f72-5de9b8e8ab2b)) + (segment (start 144.9305 93.472) (end 144.9305 91.809) (width 0.25) (layer "B.Cu") (net 9) (tstamp 31b87fbd-b5bf-4070-913a-f4415214f83a)) + (segment (start 143.002 88.614251) (end 143.002 86.868) (width 0.25) (layer "B.Cu") (net 9) (tstamp 64a0b16d-3a67-42e9-85e5-89a5a7f76072)) + (segment (start 144.9305 91.809) (end 145.117 91.6225) (width 0.25) (layer "B.Cu") (net 9) (tstamp 684b6db2-405d-4e49-b4d3-430407e741e7)) + (segment (start 144.9305 95.25) (end 144.9305 93.472) (width 0.25) (layer "B.Cu") (net 9) (tstamp 7adecb1f-b039-4112-9178-dfb690db0a44)) + (segment (start 145.117 91.6225) (end 145.117 90.3525) (width 0.25) (layer "B.Cu") (net 9) (tstamp b041c6f4-bd7a-4261-915a-2fa9a14152fe)) + (segment (start 145.117 90.3525) (end 144.740249 90.3525) (width 0.25) (layer "B.Cu") (net 9) (tstamp f1093ac6-c60d-4cb9-8424-a71a58b7f2c7)) + (segment (start 143.764 86.106) (end 147.32 86.106) (width 0.25) (layer "B.Cu") (net 9) (tstamp f558ca31-c17a-44bd-b1da-0f63e68187fb)) + (segment (start 139.192 102.7285) (end 140.97 100.9505) (width 0.25) (layer "F.Cu") (net 10) (tstamp 1649af5a-2f5b-4587-a966-47fc04aad35e)) + (segment (start 139.192 120.015) (end 142.748 116.459) (width 0.25) (layer "F.Cu") (net 10) (tstamp 24e41db2-49b0-4d80-bb82-cc36ff306d01)) + (segment (start 139.192 135.128) (end 139.192 120.015) (width 0.25) (layer "F.Cu") (net 10) (tstamp 2abf9710-aa36-438b-bf0b-5cd42e032bdc)) + (segment (start 139.192 104.605) (end 139.192 102.7285) (width 0.25) (layer "F.Cu") (net 10) (tstamp 30d8a041-8561-45db-918d-8da08bbdb85f)) + (segment (start 167.252 143.1145) (end 167.132 143.2345) (width 0.25) (layer "F.Cu") (net 10) (tstamp 3ec88b66-bf17-4e7a-b454-a60d33c9d616)) + (segment (start 139.954 103.124) (end 139.5875 103.124) (width 0.25) (layer "F.Cu") (net 10) (tstamp 43cbabbb-47c5-4e6b-8d1e-b9fb1359aafb)) + (segment (start 140.97 97.028) (end 140.97 96.266) (width 0.25) (layer "F.Cu") (net 10) (tstamp 4daf3f9b-8eed-4a11-94a2-5ef8e2c22283)) + (segment (start 167.252 141.224) (end 167.252 143.1145) (width 0.25) (layer "F.Cu") (net 10) (tstamp 5a0eb3f9-4c53-4e10-b850-7fbd6366b7af)) + (segment (start 142.748 105.918) (end 139.954 103.124) (width 0.25) (layer "F.Cu") (net 10) (tstamp 5dc67f30-dacc-402a-b372-d4b1a1143f82)) + (segment (start 140.97 100.9505) (end 140.97 97.028) (width 0.25) (layer "F.Cu") (net 10) (tstamp 67da95aa-9700-4662-a221-0abf17560089)) + (segment (start 167.132 143.2345) (end 147.2985 143.2345) (width 0.25) (layer "F.Cu") (net 10) (tstamp 73ab8163-da67-4bf9-b71b-3ba9a481995b)) + (segment (start 139.5875 103.124) (end 139.192 102.7285) (width 0.25) (layer "F.Cu") (net 10) (tstamp 83325de8-b066-4ef0-986f-3cd3c64a37c1)) + (segment (start 142.748 116.459) (end 142.748 105.918) (width 0.25) (layer "F.Cu") (net 10) (tstamp 9b0071c5-a870-4b66-b3bc-b0a870257e11)) + (segment (start 140.97 96.266) (end 141.732 95.504) (width 0.25) (layer "F.Cu") (net 10) (tstamp d6527e81-5643-4ffc-90a6-19e2dab7d037)) + (segment (start 147.2985 143.2345) (end 139.192 135.128) (width 0.25) (layer "F.Cu") (net 10) (tstamp f5842e79-66b8-4eda-8c00-fb26a31961d5)) + (via (at 141.732 95.504) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 10) (tstamp 0b611459-91f4-4da2-9e67-1f404d26caf6)) + (segment (start 142.8515 95.504) (end 143.1055 95.25) (width 0.25) (layer "B.Cu") (net 10) (tstamp 0121cf2b-7afd-46c7-b5f0-acbe7e3054fe)) + (segment (start 141.732 95.504) (end 142.8515 95.504) (width 0.25) (layer "B.Cu") (net 10) (tstamp 56028a1a-8640-43d0-9180-68882a5d01c6)) + (segment (start 122.682 77.7005) (end 123.8485 78.867) (width 0.25) (layer "F.Cu") (net 11) (tstamp 03aaedfd-9138-459d-829d-e7f6bb5df49b)) + (segment (start 122.682 76.207) (end 122.682 77.7005) (width 0.25) (layer "F.Cu") (net 11) (tstamp abb4b444-61a8-414d-8016-4eedbb87fbab)) + (segment (start 123.8485 78.867) (end 125.3275 78.867) (width 0.25) (layer "F.Cu") (net 11) (tstamp e5e309ba-57c8-4e73-aa80-a2b5e4d56510)) + (segment (start 125.3275 78.867) (end 125.7085 79.248) (width 0.25) (layer "F.Cu") (net 11) (tstamp fa54c6e3-d1b5-4a86-a4eb-8c04986e0b30)) + (segment (start 139.192 100.4285) (end 139.096 100.3325) (width 0.25) (layer "F.Cu") (net 13) (tstamp 31f73369-cb1d-420d-8bc3-ed3440f42334)) + (segment (start 139.096 100.3325) (end 135.824 100.3325) (width 0.25) (layer "F.Cu") (net 13) (tstamp a40e8181-ea81-4302-b114-4b3fba67f137)) + (segment (start 154.7075 89.662) (end 150.188 89.662) (width 0.25) (layer "F.Cu") (net 14) (tstamp 0af34630-7f6f-4b5b-ade2-402c4afc660f)) + (segment (start 155.448 88.9215) (end 154.7075 89.662) (width 0.25) (layer "F.Cu") (net 14) (tstamp 91713186-4dea-4f60-9d6c-bbd41b2c439f)) + (segment (start 157.988 89.0465) (end 155.573 89.0465) (width 0.25) (layer "F.Cu") (net 14) (tstamp c569914c-2fa1-4e3e-bd69-fcf56d477d9a)) + (segment (start 155.573 89.0465) (end 155.448 88.9215) (width 0.25) (layer "F.Cu") (net 14) (tstamp f4746686-eeb1-4e81-ab29-2530b0dfc08c)) + (segment (start 157.988 86.7215) (end 158.918 87.6515) (width 0.25) (layer "F.Cu") (net 15) (tstamp 12ca329b-fd7d-476b-a664-36c6b4c115a8)) + (segment (start 160.5495 87.63) (end 160.528 87.6515) (width 0.25) (layer "F.Cu") (net 15) (tstamp 1d184b09-0a8f-48d1-83bb-7b7e647fa9b5)) + (segment (start 158.918 87.6515) (end 160.528 87.6515) (width 0.25) (layer "F.Cu") (net 15) (tstamp 897a17a1-cac3-4646-8aa9-c164676bf24d)) + (segment (start 165.7115 87.63) (end 160.5495 87.63) (width 0.25) (layer "F.Cu") (net 15) (tstamp a3fa0712-5f3b-4672-b48b-6828fb84353a)) + (segment (start 149.1365 104.9455) (end 149.1365 101.854) (width 1.2) (layer "F.Cu") (net 18) (tstamp 0504a247-2431-41d1-b1f3-c92eccc25011)) + (segment (start 149.6395 97.294) (end 155.21 97.294) (width 1.2) (layer "F.Cu") (net 18) (tstamp 556bcacd-b950-4e9e-b96f-582f9a4f384f)) + (segment (start 149.3735 97.028) (end 149.3735 99.06) (width 1.2) (layer "F.Cu") (net 18) (tstamp 5ca2dddd-ea10-440e-be89-fddf30e4028e)) + (segment (start 149.3735 101.617) (end 149.1365 101.854) (width 1.2) (layer "F.Cu") (net 18) (tstamp 7dfe6f00-f649-459d-9b4f-4ed2fe373bc9)) + (segment (start 149.601 105.41) (end 149.1365 104.9455) (width 1.2) (layer "F.Cu") (net 18) (tstamp 86e58389-5a9c-465d-99b6-88d51c3cecb7)) + (segment (start 149.3735 97.028) (end 149.6395 97.294) (width 1.2) (layer "F.Cu") (net 18) (tstamp 981bfc08-7b3f-4e6f-96cc-35dd9767597d)) + (segment (start 149.3735 99.06) (end 149.3735 101.617) (width 1.2) (layer "F.Cu") (net 18) (tstamp c4ab6f92-4a91-4e89-8efb-2e5b74bc7f58)) + (segment (start 173.463 97.555) (end 173.482 97.536) (width 1.2) (layer "F.Cu") (net 19) (tstamp b85b4118-890e-430c-be46-00bf9eb13754)) + (segment (start 147.828 158.03) (end 147.894 157.964) (width 0.25) (layer "F.Cu") (net 20) (tstamp 2d3be422-6446-4959-8828-9ed6b9dc6afb)) + (segment (start 147.828 160.2525) (end 147.828 158.03) (width 0.25) (layer "F.Cu") (net 20) (tstamp 473bd722-7994-470b-a52e-5a7e1fa57947)) + (segment (start 147.894 157.964) (end 145.7 157.964) (width 0.25) (layer "F.Cu") (net 20) (tstamp a4dbffdb-29da-42a3-8d29-5f500fdd5940)) + (segment (start 145.7 157.964) (end 145.676 157.988) (width 0.25) (layer "F.Cu") (net 20) (tstamp d6b466e5-438d-46a9-8077-83a2df44d9c9)) + (segment (start 173.416 141.5655) (end 173.482 141.4995) (width 0.8) (layer "F.Cu") (net 23) (tstamp 29f6bed7-5a73-4ce0-91f6-9181903aae83)) + (segment (start 173.416 143.812) (end 173.416 141.5655) (width 0.8) (layer "F.Cu") (net 23) (tstamp 49237143-864d-4f3b-8c25-2d71be34daa4)) + (segment (start 173.482 141.4995) (end 173.2065 141.224) (width 0.25) (layer "F.Cu") (net 23) (tstamp b434bf4b-22d9-42dc-8bd7-646642be9e6c)) + (segment (start 173.2065 141.224) (end 169.552 141.224) (width 0.25) (layer "F.Cu") (net 23) (tstamp bf25ed66-3cc1-43e6-98d6-9f9ede808f9f)) + (segment (start 165.8785 157.3765) (end 165.862 157.36) (width 0.25) (layer "F.Cu") (net 24) (tstamp 41007462-b52c-47a9-8c62-3e0f19f364a7)) + (segment (start 165.5865 159.258) (end 165.5865 157.6355) (width 0.25) (layer "F.Cu") (net 24) (tstamp 6f91259e-5def-40d4-a0a0-555074e2082d)) + (segment (start 165.5865 157.6355) (end 165.862 157.36) (width 0.25) (layer "F.Cu") (net 24) (tstamp 9ad24ac7-8aec-42cc-bd87-37bcfd449036)) + (segment (start 167.64 157.3765) (end 165.8785 157.3765) (width 0.25) (layer "F.Cu") (net 24) (tstamp ad899147-7485-44af-90a9-82b5120384b7)) + (segment (start 160.401 158.068) (end 160.401 156.344) (width 0.25) (layer "F.Cu") (net 25) (tstamp 73f16622-8059-47ab-83a7-5684853cf542)) + (segment (start 162.433 156.3155) (end 160.4295 156.3155) (width 0.25) (layer "F.Cu") (net 25) (tstamp 8e326b56-2ab7-41c0-916d-d51090f020df)) + (segment (start 160.4295 156.3155) (end 160.401 156.344) (width 0.25) (layer "F.Cu") (net 25) (tstamp bfafc038-92b6-42d9-b62e-fecc2d2d6a15)) + (segment (start 117.876 138.5855) (end 117.876 136.1425) (width 0.25) (layer "F.Cu") (net 26) (tstamp 103c7b77-8891-4f5d-9c85-d45fda055836)) + (segment (start 117.207 131.572) (end 117.207 133.2585) (width 0.25) (layer "F.Cu") (net 26) (tstamp 1697ded9-5428-4fa4-81a9-0f4760a9623b)) + (segment (start 117.1155 133.35) (end 117.1155 135.382) (width 0.25) (layer "F.Cu") (net 26) (tstamp 26c01fed-e9ca-4214-b1be-e5cb5a7f8c7d)) + (segment (start 117.876 136.1425) (end 117.1155 135.382) (width 0.25) (layer "F.Cu") (net 26) (tstamp 2897aba6-8d4e-4835-8d50-fcebc857b632)) + (segment (start 117.207 133.2585) (end 117.1155 133.35) (width 0.25) (layer "F.Cu") (net 26) (tstamp 636a4ee0-fbc7-485b-99d5-60852a97f106)) + (segment (start 141.732 151.384) (end 133.924 143.576) (width 0.8) (layer "F.Cu") (net 28) (tstamp 1546a5f5-9136-49e7-8fce-f67bab3718b2)) + (segment (start 133.924 143.576) (end 133.924 111.9685) (width 0.8) (layer "F.Cu") (net 28) (tstamp 59677f1d-8dcc-45b2-b60f-a9449cd572cd)) + (segment (start 143.6135 151.384) (end 141.732 151.384) (width 0.8) (layer "F.Cu") (net 28) (tstamp d314f4bb-c86b-4606-b46a-99fcf5d2f811)) + (segment (start 157.48 92.202) (end 156.8685 92.202) (width 0.25) (layer "F.Cu") (net 30) (tstamp 508ca9ba-53bb-40cc-9b32-401a2431bfee)) + (segment (start 157.48 92.202) (end 147.916 92.202) (width 0.25) (layer "F.Cu") (net 30) (tstamp 692c4ba0-0f31-48aa-b051-ed328c04c541)) + (segment (start 147.916 92.202) (end 147.422 91.708) (width 0.25) (layer "F.Cu") (net 30) (tstamp 6ce19250-26cf-424a-971a-13c1f72d23ac)) + (segment (start 156.8685 92.202) (end 156.8685 90.932) (width 0.25) (layer "F.Cu") (net 30) (tstamp 70811208-4481-4cf3-bf31-94264ca1f9ee)) + (segment (start 165.228 93.98) (end 163.45 92.202) (width 0.25) (layer "F.Cu") (net 30) (tstamp a32ab83b-6564-4330-9158-e93eb3a8c95f)) + (segment (start 163.45 92.202) (end 157.48 92.202) (width 0.25) (layer "F.Cu") (net 30) (tstamp dc60901f-8ce5-44db-a3a7-38ac50d2ecc5)) + (segment (start 155.448 151.384) (end 158.5995 151.384) (width 0.8) (layer "F.Cu") (net 32) (tstamp 344e41b9-5d55-4ffd-925d-f0ef52d6adb9)) + (segment (start 155.448 154.044) (end 155.448 151.384) (width 0.25) (layer "F.Cu") (net 32) (tstamp 3a684a5c-305d-47f2-ab84-300619264598)) + (segment (start 148.844 151.384) (end 155.448 151.384) (width 0.8) (layer "F.Cu") (net 32) (tstamp 47f41ffc-4f21-4983-95d2-cfab44bfeba1)) + (segment (start 148.844 154.964) (end 148.844 151.384) (width 0.25) (layer "F.Cu") (net 32) (tstamp 9bf194b2-6d7a-4279-840d-a7af0d39d34b)) + (segment (start 145.4385 151.384) (end 148.844 151.384) (width 0.8) (layer "F.Cu") (net 32) (tstamp b69d7ca7-64dc-4f27-a695-968320a65990)) + (segment (start 172.466 146.812) (end 172.466 152.4) (width 0.8) (layer "F.Cu") (net 34) (tstamp 198e3ae5-98d5-4936-8f40-84b5939a2d17)) + (segment (start 172.466 152.4) (end 166.854 152.4) (width 0.8) (layer "F.Cu") (net 34) (tstamp 2c99cc42-5b30-461d-abff-3aa5e5b73ed1)) + (segment (start 166.854 154.068) (end 165.862 155.06) (width 0.25) (layer "F.Cu") (net 34) (tstamp 5bc83484-f596-4f7c-96eb-dbdb6db8db9d)) + (segment (start 166.854 152.4) (end 166.854 154.068) (width 0.25) (layer "F.Cu") (net 34) (tstamp 6a4816d3-e4cb-4f36-81c2-62419d233472)) + (segment (start 160.401 154.044) (end 160.401 151.4075) (width 0.25) (layer "F.Cu") (net 35) (tstamp 724b971d-729d-4589-bdae-efb1cf7aa168)) + (segment (start 160.401 151.4075) (end 160.4245 151.384) (width 0.25) (layer "F.Cu") (net 35) (tstamp 82cb9d8f-3a73-485a-8b90-3d08421363c3)) + (segment (start 163.854 151.45) (end 160.509 151.45) (width 0.8) (layer "F.Cu") (net 35) (tstamp f5f72409-6355-4dbd-8705-97e1731db383)) + (segment (start 123.283257 141.003243) (end 120.362757 141.003243) (width 0.25) (layer "F.Cu") (net 37) (tstamp 43137585-6a98-4814-ac22-018596e1b94b)) + (segment (start 123.2885 140.998) (end 123.283257 141.003243) (width 0.25) (layer "F.Cu") (net 37) (tstamp 810fdcbf-17d3-4eec-a872-aa352223b934)) + (segment (start 120.362757 141.003243) (end 119.888 141.478) (width 0.25) (layer "F.Cu") (net 37) (tstamp a3468bad-bc62-4e7e-9402-2243f476f946)) + (via (at 119.888 141.478) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 37) (tstamp a5e97491-27db-4067-a892-605d43d677f1)) + (segment (start 119.888 141.478) (end 117.988 139.578) (width 0.25) (layer "B.Cu") (net 37) (tstamp 82b56c04-8340-44de-aa1c-d204c36f5982)) + (segment (start 105.547 139.578) (end 104.145 138.176) (width 0.25) (layer "B.Cu") (net 37) (tstamp bd6853b0-5f77-4341-9e8e-58ca00146d24)) + (segment (start 117.988 139.578) (end 105.547 139.578) (width 0.25) (layer "B.Cu") (net 37) (tstamp d072f6be-c19f-4e6b-bcb2-96a738d5c232)) + (segment (start 101.7035 136.144) (end 101.7035 138.0775) (width 0.25) (layer "F.Cu") (net 38) (tstamp 4e370619-afb9-45eb-8a92-65c97cc291ec)) + (segment (start 101.7035 138.0775) (end 101.605 138.176) (width 0.25) (layer "F.Cu") (net 38) (tstamp b2b77df9-6582-4549-8d35-583adb2ffa48)) + (segment (start 121.266396 141.498) (end 120.016396 142.748) (width 0.25) (layer "F.Cu") (net 39) (tstamp 86ae3e67-e8a0-41c1-aebb-22720255ac2e)) + (segment (start 123.2885 141.498) (end 121.266396 141.498) (width 0.25) (layer "F.Cu") (net 39) (tstamp bfbc1f64-6dc9-45ed-8873-64cce50bccab)) + (segment (start 120.016396 142.748) (end 119.888 142.748) (width 0.25) (layer "F.Cu") (net 39) (tstamp c3d11eb2-9241-44f9-a1a9-170a691f69df)) + (via (at 119.888 142.748) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 39) (tstamp bca042fc-efbb-418b-bd71-8fe8d5f08cde)) + (segment (start 98.497 140.028) (end 117.168 140.028) (width 0.25) (layer "B.Cu") (net 39) (tstamp 11f38922-34c6-4f6a-a94c-70c998f9453a)) + (segment (start 96.645 138.176) (end 98.497 140.028) (width 0.25) (layer "B.Cu") (net 39) (tstamp 763151f7-514e-42e3-a329-79b890fae4b0)) + (segment (start 117.168 140.028) (end 119.888 142.748) (width 0.25) (layer "B.Cu") (net 39) (tstamp bad2823e-e082-4389-9fea-b8881715e708)) + (segment (start 94.234 136.144) (end 94.234 138.047) (width 0.25) (layer "F.Cu") (net 40) (tstamp 013f093c-3665-4350-b3df-4bff386500e9)) + (segment (start 94.234 138.047) (end 94.105 138.176) (width 0.25) (layer "F.Cu") (net 40) (tstamp 721a7ccb-a136-441c-9442-05ee88e5fdea)) + (segment (start 153.416 114.36) (end 153.416 116.459) (width 1.6) (layer "F.Cu") (net 41) (tstamp 2752adec-4974-4993-9813-29de59a21363)) + (segment (start 155.106 114.36) (end 156.21 113.256) (width 1.6) (layer "F.Cu") (net 41) (tstamp 3d0f9bb5-00a0-41b9-bfcb-eb14ed92ba3f)) + (segment (start 147.828 114.36) (end 153.416 114.36) (width 1.6) (layer "F.Cu") (net 41) (tstamp 5396f9f5-a3b6-4f0a-a470-2baf27201448)) + (segment (start 153.416 116.459) (end 156.21 119.253) (width 1.6) (layer "F.Cu") (net 41) (tstamp 8a7c2ae9-6ab6-45a7-bd5b-d14431f609c4)) + (segment (start 153.416 114.36) (end 155.106 114.36) (width 1.6) (layer "F.Cu") (net 41) (tstamp bf308450-e42f-4696-bb0e-216b96ede8f7)) + (segment (start 156.21 119.253) (end 156.21 124.626) (width 1.6) (layer "F.Cu") (net 41) (tstamp c69078bf-69b0-49a3-ae92-079b2a13ab03)) + (segment (start 123.2885 143.998) (end 129.321 143.998) (width 0.25) (layer "F.Cu") (net 42) (tstamp 53454ff1-1350-48a1-b137-2429eb02fdc7)) + (segment (start 129.321 143.998) (end 129.54 143.779) (width 0.25) (layer "F.Cu") (net 42) (tstamp f5dfc3be-d574-4e54-b0b1-c54d2726e448)) + (segment (start 132.08 146.319) (end 129.54 143.779) (width 0.25) (layer "B.Cu") (net 42) (tstamp 3080db3a-bc6b-40d8-a521-800fefa45208)) + (segment (start 132.08 152.3395) (end 132.08 146.319) (width 0.25) (layer "B.Cu") (net 42) (tstamp 6bcd2d87-3f5a-41be-88db-3c62171044d7)) + (segment (start 135.255 155.5145) (end 135.255 157.5065) (width 0.25) (layer "B.Cu") (net 42) (tstamp 74ef7906-a4cc-453f-9108-58aee9142089)) + (segment (start 135.255 155.5145) (end 132.08 152.3395) (width 0.25) (layer "B.Cu") (net 42) (tstamp c1cc82ff-2dea-46e5-8baa-150c08849158)) + (segment (start 135.255 157.5065) (end 135.128 157.6335) (width 0.25) (layer "B.Cu") (net 42) (tstamp f4dbd914-01a9-4c31-9858-e763fb0e8771)) + (segment (start 110.348 162.8755) (end 110.348 160.7905) (width 0.25) (layer "F.Cu") (net 44) (tstamp 0a84e400-19f1-4759-92f1-238ea69a7732)) + (segment (start 110.348 160.7905) (end 109.982 160.4245) (width 0.25) (layer "F.Cu") (net 44) (tstamp 5703a078-0b78-4808-9e57-cee1b432fe45)) + (segment (start 111.506 160.4245) (end 113.03 160.4245) (width 0.25) (layer "F.Cu") (net 45) (tstamp 43e23869-7413-4afe-b63c-b14a78e8c8a7)) + (segment (start 110.998 162.8755) (end 110.998 160.9325) (width 0.25) (layer "F.Cu") (net 45) (tstamp 74a0b12e-a8c6-406c-8c98-991c691db9e8)) + (segment (start 110.998 160.9325) (end 111.506 160.4245) (width 0.25) (layer "F.Cu") (net 45) (tstamp 86df6ba4-43d4-4137-85ab-cc78ef3518d0)) + (segment (start 129.032 158.877) (end 129.032 150.368) (width 0.25) (layer "F.Cu") (net 47) (tstamp 4fbb4ebb-3bc5-4db1-a705-3c4c823638f4)) + (segment (start 127.762 149.098) (end 127.762 147.955) (width 0.25) (layer "F.Cu") (net 47) (tstamp 68a09835-f9de-49b9-b01b-6b015e0ce268)) + (segment (start 122.6335 160.909) (end 127 160.909) (width 0.25) (layer "F.Cu") (net 47) (tstamp 6cb7323b-e97b-4526-b0f7-113965861222)) + (segment (start 129.032 150.368) (end 127.762 149.098) (width 0.25) (layer "F.Cu") (net 47) (tstamp 85b3cd76-50a4-4606-be28-f2970f076505)) + (segment (start 127 160.909) (end 129.032 158.877) (width 0.25) (layer "F.Cu") (net 47) (tstamp 9359721a-20ce-4608-9e39-4af0eccd9b8e)) + (segment (start 125.305 145.498) (end 123.2885 145.498) (width 0.25) (layer "F.Cu") (net 47) (tstamp ae6d97b2-e1e8-4f49-a2db-fd480454f16d)) + (segment (start 127.762 147.955) (end 125.305 145.498) (width 0.25) (layer "F.Cu") (net 47) (tstamp b8d4ca26-84d9-44ab-8960-e458772e0d75)) + (segment (start 120.1445 158.42) (end 122.6335 160.909) (width 0.25) (layer "F.Cu") (net 47) (tstamp e42a200b-db26-4207-8310-9f9a6e3ee2b6)) + (segment (start 125.2245 158.42) (end 120.876 154.0715) (width 0.25) (layer "F.Cu") (net 48) (tstamp 871e5a3d-df71-4c00-810b-fea27355a2b9)) + (segment (start 120.876 154.0715) (end 120.876 146.9105) (width 0.25) (layer "F.Cu") (net 48) (tstamp 915e22ab-51f1-47fb-b569-b614aeb4e9ce)) + (segment (start 118.846437 143.738443) (end 120.649994 145.542) (width 0.25) (layer "F.Cu") (net 49) (tstamp 0245cd9f-cd45-42f6-a7ee-b92f6c231909)) + (segment (start 118.876 138.5855) (end 118.876 139.873189) (width 0.25) (layer "F.Cu") (net 49) (tstamp 4fec591f-dbd7-42da-89c0-d1182d880016)) + (segment (start 118.876 139.873189) (end 118.846437 139.902752) (width 0.25) (layer "F.Cu") (net 49) (tstamp 650f4965-5488-4812-98dd-9f33408b1492)) + (segment (start 118.846437 139.902752) (end 118.846437 143.738443) (width 0.25) (layer "F.Cu") (net 49) (tstamp 75ed3f61-64a0-49ee-aabc-04b207c9b064)) + (via (at 120.649994 145.542) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 49) (tstamp b6274957-c4b6-466b-a6bf-63cfd43b5f0d)) + (segment (start 127.7645 152.656506) (end 120.649994 145.542) (width 0.25) (layer "B.Cu") (net 49) (tstamp 45dd6d5f-5dce-411a-8c3e-b86ec9cf10f3)) + (segment (start 127.7645 158.42) (end 127.7645 152.656506) (width 0.25) (layer "B.Cu") (net 49) (tstamp ea8c419f-3b3b-4206-a60f-40d5c14d7599)) + (segment (start 104.57 152.859) (end 104.521 152.908) (width 0.25) (layer "F.Cu") (net 50) (tstamp 31c33cf7-c587-4402-9278-809bf446ed57)) + (segment (start 106.456 152.859) (end 104.57 152.859) (width 0.25) (layer "F.Cu") (net 50) (tstamp eae1ad4e-34e1-44a6-97d6-2e070ce84622)) + (via (at 104.521 152.908) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 50) (tstamp 8dc26b24-8d58-4802-b0ef-a8e9d0d21ce5)) + (segment (start 104.521 152.908) (end 102.5125 152.908) (width 0.25) (layer "B.Cu") (net 50) (tstamp 169e1fc4-7467-4660-98c3-dc695b617138)) + (segment (start 106.456 151.759) (end 105.023 151.759) (width 0.25) (layer "F.Cu") (net 51) (tstamp 0e9a51ec-dcb6-41ea-b7a6-73ed3dc4a57c)) + (segment (start 115.766685 139.998) (end 117.348 141.579315) (width 0.25) (layer "F.Cu") (net 51) (tstamp 8290c0e9-27da-4640-b86d-9a583a3b8dec)) + (segment (start 117.348 141.579315) (end 117.348 143.256) (width 0.25) (layer "F.Cu") (net 51) (tstamp 9943b78a-c6d2-4257-90d0-fcc1c9ed4375)) + (segment (start 105.023 151.759) (end 104.394 151.13) (width 0.25) (layer "F.Cu") (net 51) (tstamp c502169b-d21f-4743-a6ad-8af31bdd26f7)) + (segment (start 114.9635 139.998) (end 115.766685 139.998) (width 0.25) (layer "F.Cu") (net 51) (tstamp d62c982c-70a3-4b9d-8081-82019fbc6380)) + (via (at 117.348 143.256) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 51) (tstamp f4b1ccd0-7e94-42af-bd68-0c8d499b3958)) + (via (at 104.394 151.13) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 51) (tstamp fc67aec4-5ebc-41c3-ab96-463d77927568)) + (segment (start 104.394 151.13) (end 103.5285 151.13) (width 0.25) (layer "B.Cu") (net 51) (tstamp 3623369f-d62e-44cd-8e19-712cf22e1269)) + (segment (start 106.68 151.13) (end 111.252 146.558) (width 0.25) (layer "B.Cu") (net 51) (tstamp 597092d9-88b0-4c31-967a-348ce056aba8)) + (segment (start 116.586 143.256) (end 117.348 143.256) (width 0.25) (layer "B.Cu") (net 51) (tstamp 84f044b0-d92c-4ab7-bb6e-7e8aa33be24b)) + (segment (start 111.252 146.558) (end 113.284 146.558) (width 0.25) (layer "B.Cu") (net 51) (tstamp 978c4b85-d3e9-45ba-a7fc-3c065e251754)) + (segment (start 104.394 151.13) (end 106.68 151.13) (width 0.25) (layer "B.Cu") (net 51) (tstamp 97cdd755-54db-43b9-bc55-bacc4e1dae3e)) + (segment (start 113.284 146.558) (end 116.586 143.256) (width 0.25) (layer "B.Cu") (net 51) (tstamp c5eeb2e1-36c9-49b7-9d4b-1ee28f005227)) + (segment (start 103.5285 151.13) (end 102.5125 150.114) (width 0.25) (layer "B.Cu") (net 51) (tstamp d988eacc-8564-4dde-bda0-87889caaf9d9)) + (segment (start 111.157 143.859) (end 111.157 146.808) (width 0.25) (layer "F.Cu") (net 52) (tstamp 13b65e40-4a98-4839-a5bb-5dc073d9a2bd)) + (segment (start 120.556 121.584132) (end 111.506 130.634132) (width 0.25) (layer "F.Cu") (net 52) (tstamp 1c19dcba-3d44-45eb-a55d-b518f2df6556)) + (segment (start 120.556 121.2005) (end 120.556 121.584132) (width 0.25) (layer "F.Cu") (net 52) (tstamp 2356a530-72f7-42f4-b3b8-7ea1924d183d)) + (segment (start 114.9635 141.998) (end 113.018 141.998) (width 0.25) (layer "F.Cu") (net 52) (tstamp 3c935cef-e2de-4a77-998a-d6c38169b5d9)) + (segment (start 113.018 141.998) (end 111.157 143.859) (width 0.25) (layer "F.Cu") (net 52) (tstamp 3e286646-2b69-453d-8ac4-db4cf75a7559)) + (segment (start 111.506 140.462) (end 111.506 141.478) (width 0.25) (layer "F.Cu") (net 52) (tstamp 4d90ab4f-2b30-498e-a6f1-65e943cd86e0)) + (segment (start 113.018 141.998) (end 111.505995 143.510005) (width 0.25) (layer "F.Cu") (net 52) (tstamp 66694026-5584-4db9-854e-1aee93ba7a8e)) + (segment (start 107.306 150.659) (end 106.456 150.659) (width 0.25) (layer "F.Cu") (net 52) (tstamp 782dbf35-c9da-4bc9-9d74-4c36ac148969)) + (segment (start 111.157 146.808) (end 107.306 150.659) (width 0.25) (layer "F.Cu") (net 52) (tstamp 7ee9d2c0-ecb3-4029-aeb2-efe0655e100b)) + (segment (start 111.506 141.478) (end 111.252 141.732) (width 0.25) (layer "F.Cu") (net 52) (tstamp a215de99-8936-41ef-a868-3b531d6ac228)) + (segment (start 111.506 130.634132) (end 111.506 140.462) (width 0.25) (layer "F.Cu") (net 52) (tstamp d9f29e4c-2827-44c8-9df7-6a936b380784)) + (via (at 111.505995 143.510005) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 52) (tstamp 440b5334-e1d5-4e57-90ca-752e94e017be)) + (via (at 111.252 141.732) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 52) (tstamp b5414cd7-92d6-4d2d-b3ab-ee90199d2a9e)) + (segment (start 111.252 141.732) (end 111.252 143.25601) (width 0.25) (layer "B.Cu") (net 52) (tstamp 7467ef4f-d195-44e2-b7a6-2094d02364b7)) + (segment (start 111.252 143.25601) (end 111.505995 143.510005) (width 0.25) (layer "B.Cu") (net 52) (tstamp 7ac78e0d-a08a-4ccd-a740-f6f64a1f9208)) + (segment (start 108.081 148.459) (end 108.204 148.336) (width 0.25) (layer "F.Cu") (net 53) (tstamp 3c5c5eb1-ab28-4778-ac46-55d312f39220)) + (segment (start 106.456 148.459) (end 108.081 148.459) (width 0.25) (layer "F.Cu") (net 53) (tstamp 42effafa-a079-4a06-97da-f778db6bb660)) + (segment (start 114.9635 140.998) (end 113.274982 140.998) (width 0.25) (layer "F.Cu") (net 53) (tstamp 56794a87-6441-4c8c-9fb9-2d9dd59e0a11)) + (segment (start 112.326 130.626396) (end 112.326 140.049018) (width 0.25) (layer "F.Cu") (net 53) (tstamp 6ab09acd-952b-4588-8542-a7d74364e994)) + (segment (start 112.326 140.049018) (end 113.03 140.753018) (width 0.25) (layer "F.Cu") (net 53) (tstamp 92f45e0f-fdc0-4039-8556-683420cc7498)) + (segment (start 122.556 121.584132) (end 118.468132 125.672) (width 0.25) (layer "F.Cu") (net 53) (tstamp 95638603-a731-4546-aea4-973e69a7e924)) + (segment (start 117.280396 125.672) (end 112.326 130.626396) (width 0.25) (layer "F.Cu") (net 53) (tstamp b571ffa1-5738-4dc7-a844-dc9535289f42)) + (segment (start 113.274982 140.998) (end 113.03 140.753018) (width 0.25) (layer "F.Cu") (net 53) (tstamp c72a9ed3-c5fe-44fb-b7f9-238e4fc797f3)) + (segment (start 118.468132 125.672) (end 117.280396 125.672) (width 0.25) (layer "F.Cu") (net 53) (tstamp e1ae1759-2568-46c7-b749-10c3ad551b3b)) + (segment (start 122.556 121.2005) (end 122.556 121.584132) (width 0.25) (layer "F.Cu") (net 53) (tstamp e31b232d-8acb-4231-a904-e9f3eea9de14)) + (via (at 108.204 148.336) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 53) (tstamp 53c27ac5-041d-4552-ae49-0ae658094bfb)) + (via (at 113.03 140.753018) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 53) (tstamp 8c0a16dc-4104-417f-a8b4-df65322dbbcc)) + (segment (start 108.204 148.336) (end 108.044998 148.176998) (width 0.25) (layer "B.Cu") (net 53) (tstamp 53a184ed-f0fc-46b9-9aea-bf70c9efbf08)) + (segment (start 109.89657 140.753018) (end 113.03 140.753018) (width 0.25) (layer "B.Cu") (net 53) (tstamp 7f320561-f279-4274-a960-1a9e31e0049c)) + (segment (start 108.044998 148.176998) (end 108.044998 142.60459) (width 0.25) (layer "B.Cu") (net 53) (tstamp 80a94682-6b18-40db-ace2-89eeb3fa0ab7)) + (segment (start 108.044998 142.60459) (end 109.89657 140.753018) (width 0.25) (layer "B.Cu") (net 53) (tstamp c40c5be1-b9c1-4b3b-b972-a9b7e484867d)) + (segment (start 106.456 146.259) (end 108.512305 146.259) (width 0.25) (layer "F.Cu") (net 54) (tstamp 1cf54b2e-9a96-45d7-8f96-71d983fc0834)) + (segment (start 108.512305 146.259) (end 110.236 144.535305) (width 0.25) (layer "F.Cu") (net 54) (tstamp 443e86cd-4df8-450e-8a78-c67da17df173)) + (segment (start 114.9635 141.498) (end 112.756 141.498) (width 0.25) (layer "F.Cu") (net 54) (tstamp 4811e01b-5c50-48dd-b25f-db1d7f880f97)) + (segment (start 111.76 142.494) (end 110.236 142.494) (width 0.25) (layer "F.Cu") (net 54) (tstamp 62da4847-0b41-494a-ba81-4059850fb7f8)) + (segment (start 112.756 141.498) (end 111.76 142.494) (width 0.25) (layer "F.Cu") (net 54) (tstamp 7e1bb72c-36c5-4449-a46a-edce8cb6f90d)) + (segment (start 110.236 144.535305) (end 110.236 142.494) (width 0.25) (layer "F.Cu") (net 54) (tstamp 7eeb0a0c-eb60-423c-a3d8-9e1e51585199)) + (segment (start 110.236 129.904132) (end 118.556 121.584132) (width 0.25) (layer "F.Cu") (net 54) (tstamp 87f140f0-1351-402f-af1b-a08bc1289fa3)) + (segment (start 114.9435 141.478) (end 114.9635 141.498) (width 0.25) (layer "F.Cu") (net 54) (tstamp 9ba808f6-24c6-49f8-9fc9-72f6daaeb1a9)) + (segment (start 118.556 121.584132) (end 118.556 121.2005) (width 0.25) (layer "F.Cu") (net 54) (tstamp 9c84d33c-3df2-4275-bbf3-ab6c7746fb41)) + (segment (start 110.236 142.494) (end 110.236 129.904132) (width 0.25) (layer "F.Cu") (net 54) (tstamp acd6fd17-ce51-4c47-ac6e-ee362e3f948e)) + (segment (start 104.777 145.159) (end 104.648 145.288) (width 0.25) (layer "F.Cu") (net 55) (tstamp dac69b25-8c0b-4789-a46e-587f339083ba)) + (segment (start 106.456 145.159) (end 104.777 145.159) (width 0.25) (layer "F.Cu") (net 55) (tstamp ddce4035-dda9-4175-9b0d-58cca02e975b)) + (via (at 104.648 145.288) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 55) (tstamp eb122a1d-c44d-4828-801a-080ab3a9773f)) + (segment (start 104.648 145.288) (end 102.5125 145.288) (width 0.25) (layer "B.Cu") (net 55) (tstamp 2e343b2f-67c1-4d42-94ff-9a1efd19c78a)) + (segment (start 95.956 143.259) (end 97.356 141.859) (width 0.25) (layer "F.Cu") (net 57) (tstamp 365eb7cb-86e0-4d34-8492-3363b35f29ad)) + (segment (start 97.356 141.859) (end 103.759 141.859) (width 0.25) (layer "F.Cu") (net 57) (tstamp 426cbda0-8caf-4ecd-a090-d9f4396be86a)) + (segment (start 115.6685 138.5855) (end 115.57 138.684) (width 0.25) (layer "F.Cu") (net 57) (tstamp 91db5299-7efb-4305-bf1e-f4e61f0f3123)) + (segment (start 103.759 141.859) (end 106.934 138.684) (width 0.25) (layer "F.Cu") (net 57) (tstamp b32ae7e7-3c2c-4df5-83b1-be42c2ec353d)) + (segment (start 116.376 138.5855) (end 115.6685 138.5855) (width 0.25) (layer "F.Cu") (net 57) (tstamp c8cbee01-ac13-45a3-9661-4e5286c04371)) + (via (at 115.57 138.684) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 57) (tstamp 1151a56c-649e-4177-8904-385cc1ca5d63)) + (via (at 106.934 138.684) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 57) (tstamp 3251b6ee-6aa5-4a4d-b849-eb37d3d2fd82)) + (segment (start 115.57 138.684) (end 106.934 138.684) (width 0.25) (layer "B.Cu") (net 57) (tstamp 2021c044-3bc9-4d47-934a-21e6d4a74c86)) + (segment (start 122.631 73.856) (end 122.682 73.907) (width 0.8) (layer "F.Cu") (net 58) (tstamp 420e89e8-79cd-4081-b424-04f307eca21f)) + (segment (start 127.755 73.907) (end 127.762 73.914) (width 0.8) (layer "F.Cu") (net 58) (tstamp 436482ca-db32-411c-a175-7c8c17a18203)) + (segment (start 119.792 73.856) (end 122.631 73.856) (width 0.8) (layer "F.Cu") (net 58) (tstamp 589d0fcc-b42d-4d92-8e00-91645ff209a2)) + (segment (start 122.682 73.907) (end 127.755 73.907) (width 0.8) (layer "F.Cu") (net 58) (tstamp f593b87f-9522-4848-8fe0-eb0a34c8c419)) + (segment (start 143.3595 158.0045) (end 143.376 157.988) (width 0.25) (layer "F.Cu") (net 59) (tstamp 0d2db3f7-8d12-41ac-a1a3-c634ba353381)) + (segment (start 143.376 157.988) (end 143.4065 157.988) (width 0.25) (layer "F.Cu") (net 59) (tstamp 416a3f1d-83ee-426c-bd74-283ef41c2edb)) + (segment (start 143.4065 157.988) (end 145.1845 156.21) (width 0.25) (layer "F.Cu") (net 59) (tstamp 52a327b0-90ff-4415-824c-c37c2175161c)) + (segment (start 155.448 156.344) (end 155.448 158.1575) (width 0.25) (layer "F.Cu") (net 60) (tstamp de99d8cd-54a7-49dd-bd1f-c9ca37580633)) + (segment (start 151.4215 162.118) (end 151.384 162.1555) (width 0.25) (layer "F.Cu") (net 61) (tstamp 4feb4a04-c970-4b05-a0b4-15c396bd1db1)) + (segment (start 153.2405 162.118) (end 151.4215 162.118) (width 0.25) (layer "F.Cu") (net 61) (tstamp 650c16f5-bb11-434e-a84a-131a7818f87b)) + (segment (start 155.448 159.9825) (end 155.448 162.7355) (width 0.25) (layer "F.Cu") (net 62) (tstamp 288ab641-7e23-4760-b7e0-44eecca7620e)) + (segment (start 155.448 162.7355) (end 155.1155 163.068) (width 0.25) (layer "F.Cu") (net 62) (tstamp b1e1bcef-db1a-44ee-889a-276e0fc59835)) + (segment (start 131.165 147.234) (end 131.165 138.277) (width 0.25) (layer "F.Cu") (net 63) (tstamp 0d00c23a-f3e2-436c-b4a7-ac87bfa1cfbf)) + (segment (start 130.302 137.414) (end 130.302 133.09599) (width 0.25) (layer "F.Cu") (net 63) (tstamp 2204f0ad-c5a5-4f12-81cb-2478dcff6a00)) + (segment (start 144.018 107.442) (end 144.018 95.854) (width 0.25) (layer "F.Cu") (net 63) (tstamp 4337564e-6c88-45f9-a22b-2d5ee5f73681)) + (segment (start 130.302 133.09599) (end 130.556 132.84199) (width 0.25) (layer "F.Cu") (net 63) (tstamp 4ad4c5c5-9106-4f38-bdb2-d9068b2d3da1)) + (segment (start 129.54 148.859) (end 131.165 147.234) (width 0.25) (layer "F.Cu") (net 63) (tstamp 60a1ff4e-7c4d-486d-a581-e95b3b03f643)) + (segment (start 139.7 87.28) (end 140.10184 86.87816) (width 0.25) (layer "F.Cu") (net 63) (tstamp 6b4ea286-8300-4104-bb52-fef560eedc87)) + (segment (start 144.765 164.084) (end 151.2805 164.084) (width 0.25) (layer "F.Cu") (net 63) (tstamp 6bf41a4b-9ca9-4dd7-81ee-1812c330227c)) + (segment (start 129.54 148.859) (end 144.765 164.084) (width 0.25) (layer "F.Cu") (net 63) (tstamp 6fff7117-ef35-46c3-9eb7-5662f4a0c497)) + (segment (start 140.10184 86.87816) (end 145.160568 86.87816) (width 0.25) (layer "F.Cu") (net 63) (tstamp 7c759ec8-2b13-4df6-82d9-87512c1333cd)) + (segment (start 123.2885 144.498) (end 125.179 144.498) (width 0.25) (layer "F.Cu") (net 63) (tstamp 91374812-ccc9-40cf-b6dc-3ca78e48f6c5)) + (segment (start 125.179 144.498) (end 129.54 148.859) (width 0.25) (layer "F.Cu") (net 63) (tstamp aea0121b-b395-475c-b145-1720939959a1)) + (segment (start 131.165 138.277) (end 130.302 137.414) (width 0.25) (layer "F.Cu") (net 63) (tstamp bbaed3d1-023e-410b-9bd7-622c348a282f)) + (segment (start 144.018 95.854) (end 139.7 91.536) (width 0.25) (layer "F.Cu") (net 63) (tstamp c3efb8cb-4e47-4517-86dc-ce0805a92642)) + (segment (start 139.7 91.536) (end 139.7 87.28) (width 0.25) (layer "F.Cu") (net 63) (tstamp f23d2634-954f-4cfd-98db-df50c352430f)) + (segment (start 151.2805 164.084) (end 151.384 163.9805) (width 0.25) (layer "F.Cu") (net 63) (tstamp ff992192-0635-4530-b4a6-22d0756d4b05)) + (via (at 144.018 107.442) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 63) (tstamp 4bd90b90-e426-426b-a4be-88c97b0fad2e)) + (via (at 130.556 132.84199) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 63) (tstamp 632e1d7d-7955-40ed-84c7-8f255da80f51)) + (via (at 145.160568 86.87816) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 63) (tstamp 9208e8ad-131f-428d-9dcd-26fa6a3315eb)) + (segment (start 135.89 132.842) (end 130.556 132.842) (width 0.25) (layer "B.Cu") (net 63) (tstamp 40ca5cf9-7fd2-46a3-8677-d8af65781d80)) + (segment (start 144.018 107.442) (end 136.906 114.554) (width 0.25) (layer "B.Cu") (net 63) (tstamp 67d33fb4-88cf-47c0-a14e-b36f71fffa32)) + (segment (start 152.3945 89.582) (end 151.812 89.582) (width 0.25) (layer "B.Cu") (net 63) (tstamp 79506474-60a9-4b74-b62f-1e57849bde20)) + (segment (start 136.906 131.826) (end 135.89 132.842) (width 0.25) (layer "B.Cu") (net 63) (tstamp 7c035f69-b97c-45c8-b296-3433140928ef)) + (segment (start 151.812 89.582) (end 151.3125 89.0825) (width 0.25) (layer "B.Cu") (net 63) (tstamp b4a4ea7b-169b-4ba4-93c3-41dcc11f0caa)) + (segment (start 136.906 114.554) (end 136.906 130.302) (width 0.25) (layer "B.Cu") (net 63) (tstamp c482ebe4-52d0-4cf4-92b0-c7df4d1bf198)) + (segment (start 150.067 89.0825) (end 148.033751 89.0825) (width 0.25) (layer "B.Cu") (net 63) (tstamp c9d74ff3-7ee0-45a2-87ab-97e895a6b6a5)) + (segment (start 145.829411 86.87816) (end 145.160568 86.87816) (width 0.25) (layer "B.Cu") (net 63) (tstamp dd894927-7955-4a90-892d-fd1e6b24440c)) + (segment (start 148.033751 89.0825) (end 145.829411 86.87816) (width 0.25) (layer "B.Cu") (net 63) (tstamp ed9fcd20-8ec8-405d-a44f-dcd52c52c2d4)) + (segment (start 151.3125 89.0825) (end 150.067 89.0825) (width 0.25) (layer "B.Cu") (net 63) (tstamp f253313e-9153-43f3-9db0-5815a8f51823)) + (segment (start 136.906 130.302) (end 136.906 131.826) (width 0.25) (layer "B.Cu") (net 63) (tstamp fe3db667-ef12-44ec-8d85-ea7902c8252c)) + (segment (start 148.7725 91.6225) (end 150.067 91.6225) (width 0.25) (layer "B.Cu") (net 64) (tstamp 3f2e2a26-bb61-425b-abfa-8d97fee97884)) + (segment (start 152.212 91.6225) (end 152.244 91.5905) (width 0.25) (layer "B.Cu") (net 64) (tstamp 76787295-45e9-4e74-a04c-ff0cd0adaf97)) + (segment (start 145.117 89.0825) (end 146.2325 89.0825) (width 0.25) (layer "B.Cu") (net 64) (tstamp 843aeec4-4019-4716-95e2-f61471ecad1a)) + (segment (start 146.2325 89.0825) (end 148.7725 91.6225) (width 0.25) (layer "B.Cu") (net 64) (tstamp 97b84c24-863a-44c5-96e3-62f5aa6a7e9b)) + (segment (start 145.117 87.8125) (end 145.117 89.0825) (width 0.25) (layer "B.Cu") (net 64) (tstamp 99d83e1c-6695-483f-82c3-e6a13067f41f)) + (segment (start 150.067 91.6225) (end 152.212 91.6225) (width 0.25) (layer "B.Cu") (net 64) (tstamp e5ea5ca9-0893-4e21-84fb-ac1d8ec56177)) + (segment (start 137.668 158.496) (end 135.128 161.036) (width 0.25) (layer "B.Cu") (net 65) (tstamp 0ccae0dc-52de-4043-b235-1280e7c3b3da)) + (segment (start 135.128 161.036) (end 135.128 162.5835) (width 0.25) (layer "B.Cu") (net 65) (tstamp 1c6fa323-59bf-40de-a1be-dfc33269e46a)) + (segment (start 133.858 162.5835) (end 135.128 162.5835) (width 0.25) (layer "B.Cu") (net 65) (tstamp 8385837b-d947-42c3-84c8-959025b2d7cd)) + (segment (start 137.668 155.495) (end 137.668 157.6335) (width 0.25) (layer "B.Cu") (net 65) (tstamp 93b3be9f-6db9-4550-97ff-9b0c54370f64)) + (segment (start 137.668 157.6335) (end 137.668 158.496) (width 0.25) (layer "B.Cu") (net 65) (tstamp b4ffd337-a4ec-4f64-a760-360de063b29f)) + (segment (start 142.748 165.1) (end 140.716 163.068) (width 0.25) (layer "F.Cu") (net 66) (tstamp 06fc5826-ee4b-4536-a122-71a8cae44b11)) + (segment (start 157.48 164.592) (end 156.972 165.1) (width 0.25) (layer "F.Cu") (net 66) (tstamp 0b46d989-b77d-478b-9d2d-348b8483d88f)) + (segment (start 145.796 165.1) (end 142.748 165.1) (width 0.25) (layer "F.Cu") (net 66) (tstamp 5371e9c6-0462-4394-bc8f-ce90e3b37991)) + (segment (start 160.401 159.893) (end 160.401 161.671) (width 0.25) (layer "F.Cu") (net 66) (tstamp 6cddd1b0-5279-4647-baa6-f52aca6ff5c6)) + (segment (start 160.401 161.671) (end 157.48 164.592) (width 0.25) (layer "F.Cu") (net 66) (tstamp 7bf75eb8-7139-4a1f-9595-8ab4d3b35a3c)) + (segment (start 156.972 165.1) (end 145.796 165.1) (width 0.25) (layer "F.Cu") (net 66) (tstamp f378b8c8-c846-4991-af49-fdf3d78481d8)) + (via (at 140.716 163.068) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 66) (tstamp 6c4db556-8a8b-460b-be47-3333d44ff021)) + (segment (start 139.065 162.5835) (end 139.446 162.9645) (width 0.25) (layer "B.Cu") (net 66) (tstamp 45e64521-a7f6-432b-8c0f-c158d30a499a)) + (segment (start 136.398 162.5835) (end 137.668 162.5835) (width 0.25) (layer "B.Cu") (net 66) (tstamp 9064f187-31bd-421f-a1d1-f6552331c7d8)) + (segment (start 139.5495 163.068) (end 139.446 162.9645) (width 0.25) (layer "B.Cu") (net 66) (tstamp b5b06188-1e31-4932-8e1b-e48db14d9924)) + (segment (start 140.716 163.068) (end 139.5495 163.068) (width 0.25) (layer "B.Cu") (net 66) (tstamp b7db8a00-48e2-40d8-a012-2d0ffe1c7ec6)) + (segment (start 137.668 162.5835) (end 139.065 162.5835) (width 0.25) (layer "B.Cu") (net 66) (tstamp ce0ec111-81a6-48ec-8b05-954c4194ddc7)) + (segment (start 118.11 141.704919) (end 118.11 142.494) (width 0.25) (layer "F.Cu") (net 67) (tstamp 287ca9f7-e416-459a-adfb-630d4e856741)) + (segment (start 117.376 138.5855) (end 117.376 140.970919) (width 0.25) (layer "F.Cu") (net 67) (tstamp 42411234-629a-4396-950c-bbb2fdc1d72c)) + (segment (start 131.318 133.858) (end 131.318 137.16) (width 0.25) (layer "F.Cu") (net 67) (tstamp 7c7a4856-32b0-4cd7-9420-a476ce6a8eff)) + (segment (start 117.376 140.970919) (end 118.11 141.704919) (width 0.25) (layer "F.Cu") (net 67) (tstamp ca996e26-523a-43ec-9cd9-a86647f385a1)) + (segment (start 131.318 137.16) (end 131.572 137.414) (width 0.25) (layer "F.Cu") (net 67) (tstamp ce629d8c-a4b8-4d32-b71d-31934eb3e5a4)) + (via (at 118.11 142.494) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 67) (tstamp 969ab9b0-c398-4823-993c-fbea8a027700)) + (via (at 131.572 137.414) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 67) (tstamp bdcda0b7-e0f5-4b12-9596-c00fc463c4c8)) + (via (at 131.318 133.858) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 67) (tstamp f0f6e59c-aba5-4270-aeee-4369c32eac40)) + (segment (start 146.334 111.162762) (end 144.032262 111.162762) (width 0.25) (layer "B.Cu") (net 67) (tstamp 0ca91e87-337b-4c93-bf85-76e3a916f796)) + (segment (start 144.018 111.1485) (end 142.3435 111.1485) (width 0.25) (layer "B.Cu") (net 67) (tstamp 1ec89449-f609-46ae-a5a2-d772f5d4258c)) + (segment (start 142.3435 111.1485) (end 137.668 115.824) (width 0.25) (layer "B.Cu") (net 67) (tstamp 2602371e-3128-46e0-a4fe-e73cd7b4c9bf)) + (segment (start 137.668 115.824) (end 137.668 131.700396) (width 0.25) (layer "B.Cu") (net 67) (tstamp 441a7981-7b0c-4776-9f6f-8e06cb68383a)) + (segment (start 131.884 133.292) (end 131.318 133.858) (width 0.25) (layer "B.Cu") (net 67) (tstamp 5abb83a4-1425-4a3c-883c-bcd7239446ab)) + (segment (start 127 137.668) (end 131.318 137.668) (width 0.25) (layer "B.Cu") (net 67) (tstamp 97713ead-14ef-43ed-a2c3-fe3c3db0c238)) + (segment (start 144.032262 111.162762) (end 144.018 111.1485) (width 0.25) (layer "B.Cu") (net 67) (tstamp 982ac201-b440-40ad-aa77-c3cd976e9ce8)) + (segment (start 137.668 131.700396) (end 136.076396 133.292) (width 0.25) (layer "B.Cu") (net 67) (tstamp 9bced9b7-3305-4214-b002-0e26f035cec2)) + (segment (start 119.634 144.018) (end 120.65 144.018) (width 0.25) (layer "B.Cu") (net 67) (tstamp aef53e1d-9299-4ebe-a0c1-be3941e08815)) + (segment (start 136.076396 133.292) (end 131.884 133.292) (width 0.25) (layer "B.Cu") (net 67) (tstamp d0611388-8d1c-4740-9840-99fe96a2c541)) + (segment (start 131.318 137.668) (end 131.572 137.414) (width 0.25) (layer "B.Cu") (net 67) (tstamp d2938be4-0b42-4020-8675-0614535c5b53)) + (segment (start 118.11 142.494) (end 119.634 144.018) (width 0.25) (layer "B.Cu") (net 67) (tstamp e6031160-cec5-49ef-b54e-8f625005101e)) + (segment (start 120.65 144.018) (end 127 137.668) (width 0.25) (layer "B.Cu") (net 67) (tstamp ef4f71d7-f03c-46a7-ac14-d3b500511bed)) + (segment (start 106.74 82.356) (end 105.918 81.534) (width 0.25) (layer "F.Cu") (net 68) (tstamp 36e71932-6cff-4a35-938e-fc67291f93e6)) + (segment (start 105.918 81.534) (end 104.6715 81.534) (width 0.25) (layer "F.Cu") (net 68) (tstamp 609022db-a163-4c8a-b51b-d052859ca096)) + (segment (start 104.6715 81.534) (end 104.5445 81.407) (width 0.25) (layer "F.Cu") (net 68) (tstamp cd16ac0f-9459-405c-985c-b8ea20e21664)) + (segment (start 107.792 82.356) (end 106.74 82.356) (width 0.25) (layer "F.Cu") (net 68) (tstamp f18eec6f-b2b6-40a0-9ec0-87e9fb193892)) + (segment (start 95.885 81.407) (end 91.761199 85.530801) (width 0.25) (layer "F.Cu") (net 69) (tstamp 047baa55-0742-42d3-8efe-370ce87df002)) + (segment (start 117.856 151.384) (end 119.376 149.864) (width 0.25) (layer "F.Cu") (net 69) (tstamp 05d301c9-7dcd-441f-be3b-5cf22c3551c8)) + (segment (start 102.7195 81.407) (end 95.885 81.407) (width 0.25) (layer "F.Cu") (net 69) (tstamp 94a209eb-288a-41f8-a604-8f1063be81fa)) + (segment (start 91.761199 85.530801) (end 91.761199 141.416118) (width 0.25) (layer "F.Cu") (net 69) (tstamp a6b2f772-a7d8-453c-aef4-0c1bbb21b1a7)) + (segment (start 119.376 149.864) (end 119.376 146.9105) (width 0.25) (layer "F.Cu") (net 69) (tstamp fc15e44e-0b19-4b86-931d-705b1bf18cd2)) + (via (at 91.761199 141.416118) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 69) (tstamp 47abdd1a-b0aa-4b09-8090-e9e481412264)) + (via (at 117.856 151.384) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 69) (tstamp 7c5bf940-e033-472f-b08b-d3d1881ba54d)) + (segment (start 111.172 158.068) (end 99.391208 158.068) (width 0.25) (layer "B.Cu") (net 69) (tstamp 26b37003-772d-4820-a6aa-bf38fe777fea)) + (segment (start 117.856 151.384) (end 111.172 158.068) (width 0.25) (layer "B.Cu") (net 69) (tstamp 85ebdee0-98ad-438e-958e-a49ef5afd94b)) + (segment (start 91.761199 150.437991) (end 91.761199 141.416118) (width 0.25) (layer "B.Cu") (net 69) (tstamp 90242bfe-c3b9-4557-8ffe-90d7f6d03768)) + (segment (start 99.391208 158.068) (end 91.761199 150.437991) (width 0.25) (layer "B.Cu") (net 69) (tstamp b9ecf30f-ced7-48fb-8915-6e828080e1fa)) + (segment (start 105.918 83.058) (end 104.6715 83.058) (width 0.25) (layer "F.Cu") (net 70) (tstamp 2c9f1d76-ad7a-424c-a696-38be6bdd17b3)) + (segment (start 104.6715 83.058) (end 104.5445 82.931) (width 0.25) (layer "F.Cu") (net 70) (tstamp 470d9c07-3126-469a-990e-186dee4f26bd)) + (segment (start 107.792 83.456) (end 106.316 83.456) (width 0.25) (layer "F.Cu") (net 70) (tstamp 715a1d59-9de4-406d-9378-88860f090f4e)) + (segment (start 106.316 83.456) (end 105.918 83.058) (width 0.25) (layer "F.Cu") (net 70) (tstamp 993fb33c-dd11-4ebb-bfb3-ad6c3c9e69b0)) + (segment (start 95.885 82.931) (end 92.88 85.936) (width 0.25) (layer "F.Cu") (net 71) (tstamp 33e1511e-2af4-402b-954a-87404d57bb56)) + (segment (start 118.876 148.586) (end 118.876 146.9105) (width 0.25) (layer "F.Cu") (net 71) (tstamp 89a0dbaa-d012-42e4-9b73-073ab1b932bd)) + (segment (start 92.88 85.936) (end 92.88 141.701579) (width 0.25) (layer "F.Cu") (net 71) (tstamp a28b63a5-4a4d-4eff-a4c8-a5d60d2a66db)) + (segment (start 117.094 150.368) (end 118.876 148.586) (width 0.25) (layer "F.Cu") (net 71) (tstamp a49b8f9a-4108-497c-bb33-89f5fa771a6f)) + (segment (start 92.88 141.701579) (end 92.910421 141.732) (width 0.25) (layer "F.Cu") (net 71) (tstamp b7b09503-2ecf-4ec0-a3dc-c3f0b0998a9f)) + (segment (start 102.7195 82.931) (end 95.885 82.931) (width 0.25) (layer "F.Cu") (net 71) (tstamp cbaa7739-c578-4ab8-bcd6-8c6b3e64bec9)) + (via (at 117.094 150.368) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 71) (tstamp 38f73013-8e2c-40a7-b734-aea4ac9ae8a4)) + (via (at 92.910421 141.732) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 71) (tstamp cdf5ba1e-d68c-4621-b7f0-2d5229bdfd5f)) + (segment (start 117.094 150.368) (end 109.844 157.618) (width 0.25) (layer "B.Cu") (net 71) (tstamp 1e361d1b-4639-4092-b6f8-d22f0a377865)) + (segment (start 99.706 157.618) (end 92.964 150.876) (width 0.25) (layer "B.Cu") (net 71) (tstamp a528bfc4-7a7b-4f1e-bb8e-6a9570719bc9)) + (segment (start 92.964 141.785579) (end 92.910421 141.732) (width 0.25) (layer "B.Cu") (net 71) (tstamp b2c817fa-ef6c-4ce1-aa7d-f0e789b8ccf7)) + (segment (start 92.964 150.876) (end 92.964 141.785579) (width 0.25) (layer "B.Cu") (net 71) (tstamp bf8fc60d-3092-4be0-a0e3-3af60eaaea5f)) + (segment (start 109.844 157.618) (end 99.706 157.618) (width 0.25) (layer "B.Cu") (net 71) (tstamp d4105c33-839f-4938-8213-3ec92bcc6cc4)) + (segment (start 107.792 80.156) (end 107.334 80.156) (width 0.25) (layer "F.Cu") (net 72) (tstamp 47caa03a-fffe-4749-bdaa-1b990d8b5fac)) + (segment (start 105.537 78.359) (end 104.5445 78.359) (width 0.25) (layer "F.Cu") (net 72) (tstamp a62b294b-4dca-4562-b065-18a6c5899850)) + (segment (start 107.334 80.156) (end 105.537 78.359) (width 0.25) (layer "F.Cu") (net 72) (tstamp f3e45f4c-a34e-455e-97ce-c58e58121308)) + (segment (start 125.6265 131.064) (end 124.714 131.064) (width 0.25) (layer "F.Cu") (net 73) (tstamp 173edd56-42d7-4d2f-b618-057c5361ec84)) + (segment (start 124.808505 142.498) (end 125.312505 143.002) (width 0.25) (layer "F.Cu") (net 73) (tstamp 2ed71296-6d20-4d7e-ac4b-ee3744eb61c4)) + (segment (start 124.714 131.064) (end 124.714 126.745998) (width 0.25) (layer "F.Cu") (net 73) (tstamp 313e3816-3b6d-4055-bbf0-1c07d7070425)) + (segment (start 127.897208 137.806) (end 124.714 134.622792) (width 0.25) (layer "F.Cu") (net 73) (tstamp 37fc16a1-f598-4036-b364-43caa3d5eff4)) + (segment (start 124.714 134.622792) (end 124.714 131.064) (width 0.25) (layer "F.Cu") (net 73) (tstamp 66a1f0b6-127d-4f53-b123-829455e0e43a)) + (segment (start 124.714 126.745998) (end 125.984 125.475998) (width 0.25) (layer "F.Cu") (net 73) (tstamp 6b09f33a-73d6-49b6-ad56-bb21628eaab3)) + (segment (start 127.897208 142.268287) (end 127.897208 137.806) (width 0.25) (layer "F.Cu") (net 73) (tstamp 767663fd-3dd7-4744-a391-eb1bd65cc36b)) + (segment (start 127.163495 143.002) (end 127.897208 142.268287) (width 0.25) (layer "F.Cu") (net 73) (tstamp 8a1d9772-63f2-4621-9a39-cd1ee50240a4)) + (segment (start 125.312505 143.002) (end 127.163495 143.002) (width 0.25) (layer "F.Cu") (net 73) (tstamp 904d46a9-0254-4bf4-bcdf-c7fbc3c8936b)) + (segment (start 123.2885 142.498) (end 124.808505 142.498) (width 0.25) (layer "F.Cu") (net 73) (tstamp a8f30f8f-66d4-4b9d-814f-e5e02e8c14e3)) + (segment (start 101.346 78.232) (end 102.5925 78.232) (width 0.25) (layer "F.Cu") (net 73) (tstamp cdccd5e3-c680-4a77-932b-9c25b17610c1)) + (segment (start 102.5925 78.232) (end 102.7195 78.359) (width 0.25) (layer "F.Cu") (net 73) (tstamp e3b4762e-b6cb-41e3-87dc-ca007a2a2017)) + (via (at 127.915 138.93801) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 73) (tstamp 4c62c315-4d91-48f0-88bb-ad6c5fc1d09e)) + (via (at 125.984 125.475998) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 73) (tstamp d98b5928-4277-45ef-b88c-cb186f9929ec)) + (via (at 101.346 78.232) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 73) (tstamp e09fbf61-5e33-48e3-8fad-176a35ce9653)) + (segment (start 121.317 165.767) (end 128.248701 165.767) (width 0.25) (layer "B.Cu") (net 73) (tstamp 1c9aad51-eb5a-4720-89a5-55d91dcc7d08)) + (segment (start 128.248701 165.767) (end 129.032 164.983701) (width 0.25) (layer "B.Cu") (net 73) (tstamp 4a497431-e7b3-401d-a6ae-16ff9b4f65d8)) + (segment (start 127.915 151.791) (end 127.915 138.93801) (width 0.25) (layer "B.Cu") (net 73) (tstamp 543a6840-1dd6-44b5-b4cb-e594e8f88f56)) + (segment (start 129.032 152.908) (end 127.915 151.791) (width 0.25) (layer "B.Cu") (net 73) (tstamp 815636d8-92b3-41d7-8e64-44f609c28ce5)) + (segment (start 109.982 109.473998) (end 125.984 125.475998) (width 0.25) (layer "B.Cu") (net 73) (tstamp a74b26a2-efb1-40dd-ac43-288aebdd8748)) + (segment (start 109.982 86.868) (end 109.982 109.473998) (width 0.25) (layer "B.Cu") (net 73) (tstamp d0d499e0-3148-4868-a3e8-96081abbd579)) + (segment (start 120.142 164.592) (end 121.317 165.767) (width 0.25) (layer "B.Cu") (net 73) (tstamp d1409e0f-918b-45db-a9a4-61989d1c3204)) + (segment (start 101.346 78.232) (end 109.982 86.868) (width 0.25) (layer "B.Cu") (net 73) (tstamp ff6f523c-ed12-4fcc-8b87-1df2a5c4038e)) + (segment (start 129.032 164.983701) (end 129.032 152.908) (width 0.25) (layer "B.Cu") (net 73) (tstamp fff789cb-c3ee-453d-b9c5-c514769cdac3)) + (segment (start 107.164 81.256) (end 105.791 79.883) (width 0.25) (layer "F.Cu") (net 74) (tstamp 67e2fb0e-e8da-4c75-a266-a1668086de42)) + (segment (start 105.791 79.883) (end 104.5445 79.883) (width 0.25) (layer "F.Cu") (net 74) (tstamp 7b2fee71-7574-48e3-8b41-8655e8e7029c)) + (segment (start 107.792 81.256) (end 107.164 81.256) (width 0.25) (layer "F.Cu") (net 74) (tstamp e06b8a56-306c-4a5e-a9ec-72015a243ea2)) + (segment (start 125.6265 132.588) (end 125.93225 132.588) (width 0.25) (layer "F.Cu") (net 75) (tstamp 0fc79003-7b87-4abe-a2f8-c72f2ca59f3e)) + (segment (start 122.682 164.592) (end 125.222 162.052) (width 0.25) (layer "F.Cu") (net 75) (tstamp 1197566c-8fa3-4542-bfbf-0c158e5ae8ff)) + (segment (start 128.016 162.052) (end 131.318 162.052) (width 0.25) (layer "F.Cu") (net 75) (tstamp 36df9037-4333-4f61-8b8b-e0f149db5feb)) + (segment (start 127.291891 143.51) (end 129.286 141.515891) (width 0.25) (layer "F.Cu") (net 75) (tstamp 4b341535-89b5-4e59-a305-ef730a5fe8ee)) + (segment (start 129.286 139.192) (end 129.286 138.558396) (width 0.25) (layer "F.Cu") (net 75) (tstamp 511945fd-0efd-4347-b9d1-0ae569a05477)) + (segment (start 129.286 138.558396) (end 125.6265 134.898896) (width 0.25) (layer "F.Cu") (net 75) (tstamp 51ae3d66-3237-458e-8327-42e234b09720)) + (segment (start 125.93225 132.588) (end 126.746 131.77425) (width 0.25) (layer "F.Cu") (net 75) (tstamp 553ceaff-11d1-4ded-8c19-dd3e83d64fa8)) + (segment (start 125.222 162.052) (end 128.016 162.052) (width 0.25) (layer "F.Cu") (net 75) (tstamp 5620dd8e-3c55-432a-a180-bf06776e77e4)) + (segment (start 102.7195 79.883) (end 101.473 79.883) (width 0.25) (layer "F.Cu") (net 75) (tstamp 762aa664-23fd-4cb6-a2dc-678380992318)) + (segment (start 129.286 141.515891) (end 129.286 139.192) (width 0.25) (layer "F.Cu") (net 75) (tstamp 782d2513-24b0-4d54-b6bb-304857c62c45)) + (segment (start 126.746 131.77425) (end 126.746 128.016) (width 0.25) (layer "F.Cu") (net 75) (tstamp 8be41c84-50fb-4c4e-8457-57674e8abae1)) + (segment (start 126.746 128.016) (end 125.73 127) (width 0.25) (layer "F.Cu") (net 75) (tstamp afd2696d-872e-4dcb-9c2c-ff76fdfc4585)) + (segment (start 101.473 79.883) (end 101.346 79.756) (width 0.25) (layer "F.Cu") (net 75) (tstamp bb766800-f437-45af-9d28-2d8fbb0c6453)) + (segment (start 124.091685 142.998) (end 124.603685 143.51) (width 0.25) (layer "F.Cu") (net 75) (tstamp c336fa1d-d1f2-4421-ac0c-6103cde967a4)) + (segment (start 124.603685 143.51) (end 127.291891 143.51) (width 0.25) (layer "F.Cu") (net 75) (tstamp d1697881-26fe-41d3-9162-f41c1a372ce3)) + (segment (start 123.2885 142.998) (end 124.091685 142.998) (width 0.25) (layer "F.Cu") (net 75) (tstamp d1c1b123-8194-412a-8364-303c402b37f5)) + (segment (start 125.6265 134.898896) (end 125.6265 132.588) (width 0.25) (layer "F.Cu") (net 75) (tstamp f635855e-54ad-4f18-8215-aedef7468c5a)) + (via (at 125.73 127) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 75) (tstamp c3994a6b-dd2f-4eb9-b2cf-843c57210d74)) + (via (at 129.286 139.192) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 75) (tstamp c3b49cd5-2bf0-45e9-ac85-b98c53ce4788)) + (via (at 101.346 79.756) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 75) (tstamp e50ad808-a878-42cb-845e-0eae61b9ceff)) + (via (at 131.318 162.052) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 75) (tstamp f9a2ca00-62cd-45ac-90d7-15074ea45e83)) + (segment (start 128.365 140.367) (end 129.286 139.446) (width 0.25) (layer "B.Cu") (net 75) (tstamp 12ba0ac6-ba5a-49c6-9991-901b90a6cd99)) + (segment (start 131.318 154.178) (end 128.365 151.225) (width 0.25) (layer "B.Cu") (net 75) (tstamp 3a699fa3-1aba-411f-ae76-a088bf5936ee)) + (segment (start 129.286 139.446) (end 129.286 139.192) (width 0.25) (layer "B.Cu") (net 75) (tstamp 485e8009-9ff4-479a-bfd2-782f33b8deeb)) + (segment (start 101.346 79.756) (end 108.966 87.376) (width 0.25) (layer "B.Cu") (net 75) (tstamp 61d34790-7dcf-47c4-9b64-0092937f61b7)) + (segment (start 131.318 162.052) (end 131.318 154.178) (width 0.25) (layer "B.Cu") (net 75) (tstamp 82ccb739-5d7b-4eba-9e5a-32e6cec21108)) + (segment (start 108.966 87.376) (end 108.966 110.236) (width 0.25) (layer "B.Cu") (net 75) (tstamp ddd8575f-3734-4f92-9840-651e8b7b54b7)) + (segment (start 108.966 110.236) (end 125.73 127) (width 0.25) (layer "B.Cu") (net 75) (tstamp ee7d2b37-0fed-42d5-b9a8-d16d9b3ac780)) + (segment (start 128.365 151.225) (end 128.365 140.367) (width 0.25) (layer "B.Cu") (net 75) (tstamp f0ffc3a9-33fa-4345-9b31-2c88fe89e841)) + (segment (start 120.506 76.056) (end 122.0235 77.5735) (width 0.25) (layer "F.Cu") (net 76) (tstamp 0da17571-4c0b-4085-afb8-e5227ee96b69)) + (segment (start 119.792 76.056) (end 120.506 76.056) (width 0.25) (layer "F.Cu") (net 76) (tstamp 1c67f2cf-029a-4b12-bdab-3de5b1831c6b)) + (segment (start 122.0235 77.5735) (end 122.0235 78.867) (width 0.25) (layer "F.Cu") (net 76) (tstamp 891a6c69-52bc-467b-99c5-f1990614a127)) + (segment (start 153.088 88.412) (end 153.088 87.5325) (width 0.25) (layer "F.Cu") (net 77) (tstamp 73cbef10-411d-4263-be16-0540f4f20b56)) + (segment (start 153.088 87.5325) (end 151.6615 86.106) (width 0.25) (layer "F.Cu") (net 77) (tstamp d37a551d-f1c4-4139-8e59-5c90fed63d77)) + (segment (start 149.8365 86.9935) (end 147.422 89.408) (width 0.25) (layer "F.Cu") (net 78) (tstamp 148df669-8290-4f8e-adb1-e92080953bef)) + (segment (start 149.8365 86.106) (end 149.8365 86.9935) (width 0.25) (layer "F.Cu") (net 78) (tstamp 51bef160-b387-4d95-a56d-f8083d793e21)) + (segment (start 141.122 89.408) (end 147.422 89.408) (width 0.25) (layer "F.Cu") (net 78) (tstamp 633a36c3-39a3-4b0e-87f9-0ecaf13da111)) + (segment (start 155.0435 90.932) (end 153.108 90.932) (width 0.25) (layer "F.Cu") (net 79) (tstamp 23603c18-1f53-4aac-9e91-0efee2f911a7)) + (segment (start 153.108 90.932) (end 153.088 90.912) (width 0.25) (layer "F.Cu") (net 79) (tstamp 8e978ad2-14f4-4461-93c4-88f8ef3fb368)) + (segment (start 121.376 139.580021) (end 121.717112 139.921133) (width 0.25) (layer "F.Cu") (net 80) (tstamp b1ee26bb-7189-498c-85da-5abf492d917d)) + (segment (start 121.376 138.5855) (end 121.376 139.580021) (width 0.25) (layer "F.Cu") (net 80) (tstamp d6c31bb3-20b3-471a-91a4-1b581ea1b24e)) + (via (at 121.717112 139.921133) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 80) (tstamp e0250718-bb85-4bc2-948a-a0e848e63cf3)) + (segment (start 121.717112 133.925112) (end 121.717112 139.921133) (width 0.25) (layer "B.Cu") (net 80) (tstamp 06555769-093a-4cd1-99d0-f6bb3df82190)) + (segment (start 93.3575 114.518) (end 95.81 114.518) (width 0.25) (layer "B.Cu") (net 80) (tstamp 151b18cd-fe08-4726-be63-22bbca9a4163)) + (segment (start 95.81 114.518) (end 102.31 114.518) (width 0.25) (layer "B.Cu") (net 80) (tstamp c5e7eb90-7671-401f-a770-9f77bbe5af7f)) + (segment (start 102.31 114.518) (end 121.717112 133.925112) (width 0.25) (layer "B.Cu") (net 80) (tstamp d3676637-d7b1-41aa-a643-c5855eecbe1b)) + (segment (start 93.218 114.6575) (end 93.3575 114.518) (width 0.25) (layer "B.Cu") (net 80) (tstamp f2043d5c-c6c7-4185-82dc-3bb15d9cd334)) + (segment (start 120.876 140.001226) (end 120.598983 140.278243) (width 0.25) (layer "F.Cu") (net 81) (tstamp 1304b02f-615a-4bfb-98f0-d0929275a61b)) + (segment (start 120.876 138.5855) (end 120.876 140.001226) (width 0.25) (layer "F.Cu") (net 81) (tstamp bec3fc59-fe88-4af8-8619-c73a63f82944)) + (via (at 120.598983 140.278243) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 81) (tstamp 5e717d76-1503-4020-99ca-fa5d15ba24a9)) + (segment (start 93.218 123.2935) (end 93.4435 123.068) (width 0.25) (layer "B.Cu") (net 81) (tstamp 22dc12c2-9a70-4f5a-96db-a8d849e98457)) + (segment (start 102.31 123.068) (end 105.701 126.459) (width 0.25) (layer "B.Cu") (net 81) (tstamp 2f436959-8d1a-40c8-b3fc-df91a1966317)) + (segment (start 93.4435 123.068) (end 95.81 123.068) (width 0.25) (layer "B.Cu") (net 81) (tstamp 445ab8f8-b8f9-4aee-a227-2dd3ac64d389)) + (segment (start 95.81 123.068) (end 102.31 123.068) (width 0.25) (layer "B.Cu") (net 81) (tstamp 5602a553-ca0d-406b-864a-60854ec296b6)) + (segment (start 105.701 126.459) (end 105.701 132.634305) (width 0.25) (layer "B.Cu") (net 81) (tstamp 63ba516c-1427-4067-b294-66667fb9098a)) + (segment (start 120.598983 139.893678) (end 120.598983 140.278243) (width 0.25) (layer "B.Cu") (net 81) (tstamp 7e1e6fd2-f450-46c8-8e5f-56da5b73c74c)) + (segment (start 109.464695 136.398) (end 117.103305 136.398) (width 0.25) (layer "B.Cu") (net 81) (tstamp a0402561-3793-4d5c-bb5c-4cdcfa66df95)) + (segment (start 117.103305 136.398) (end 120.598983 139.893678) (width 0.25) (layer "B.Cu") (net 81) (tstamp aa92185e-42da-428f-8211-a847bf7a784c)) + (segment (start 105.701 132.634305) (end 109.464695 136.398) (width 0.25) (layer "B.Cu") (net 81) (tstamp b634a0f5-b174-49bf-b66f-29e27421842f)) + (segment (start 120.376 139.419695) (end 119.626101 140.169594) (width 0.25) (layer "F.Cu") (net 82) (tstamp 028c01d1-50ee-486a-89d0-899042d1c0c1)) + (segment (start 119.626101 140.169594) (end 119.6049 140.169594) (width 0.25) (layer "F.Cu") (net 82) (tstamp aa08d38d-eb6b-4d7b-bd26-f3b8d59f0266)) + (segment (start 120.376 138.5855) (end 120.376 139.419695) (width 0.25) (layer "F.Cu") (net 82) (tstamp b7d7379e-0d13-4e57-b748-963f716ef207)) + (via (at 119.6049 140.169594) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 82) (tstamp 796f6652-3803-4c47-b97e-c3a2e68662ef)) + (segment (start 102.31 131.618) (end 108.614 137.922) (width 0.25) (layer "B.Cu") (net 82) (tstamp 0f6b2dec-0e8f-44cf-ac3f-6140960d89d2)) + (segment (start 119.537974 140.169594) (end 119.6049 140.169594) (width 0.25) (layer "B.Cu") (net 82) (tstamp 2466bb57-90b0-4a41-87a2-963c8ac11578)) + (segment (start 95.81 131.618) (end 102.31 131.618) (width 0.25) (layer "B.Cu") (net 82) (tstamp 32390c5d-fb76-4e6a-b9c0-dee5daac64ab)) + (segment (start 117.29038 137.922) (end 119.537974 140.169594) (width 0.25) (layer "B.Cu") (net 82) (tstamp 61dad4e9-832d-41b3-be32-f9b62c6d1b75)) + (segment (start 108.614 137.922) (end 117.29038 137.922) (width 0.25) (layer "B.Cu") (net 82) (tstamp 672cdc60-97c8-46c2-ba6b-1db483fa1849)) + (segment (start 93.218 131.6755) (end 93.2755 131.618) (width 0.25) (layer "B.Cu") (net 82) (tstamp 69a2b9c5-6588-4301-b998-d8cb6e6ccd2a)) + (segment (start 93.2755 131.618) (end 95.81 131.618) (width 0.25) (layer "B.Cu") (net 82) (tstamp a6da7547-2db9-421f-9dd4-251efe4ecf7e)) + (segment (start 121.1685 133.858) (end 121.1685 131.2815) (width 0.25) (layer "F.Cu") (net 83) (tstamp 200c6b30-6489-471a-a8f2-2000f7362836)) + (segment (start 121.1685 136.0065) (end 119.888 137.287) (width 0.25) (layer "F.Cu") (net 83) (tstamp 3369c9dd-4a6e-4db7-9c49-75a4283f8918)) + (segment (start 121.1685 131.2815) (end 120.951 131.064) (width 0.25) (layer "F.Cu") (net 83) (tstamp 776c5d4d-ae40-416a-88ad-ecf91e8774ee)) + (segment (start 121.1685 133.858) (end 121.1685 136.0065) (width 0.25) (layer "F.Cu") (net 83) (tstamp a21aaa40-c2f6-4bb2-8200-264c1a9439c2)) + (segment (start 119.888 137.287) (end 119.888 138.5735) (width 0.25) (layer "F.Cu") (net 83) (tstamp dd38edad-2d2e-4b3b-a173-d6d0cb95261c)) + (segment (start 119.888 138.5735) (end 119.876 138.5855) (width 0.25) (layer "F.Cu") (net 83) (tstamp f08ccbb4-57b7-47d4-b487-5c6d49fe4789)) + (segment (start 119.38 136.779) (end 119.38 138.5815) (width 0.25) (layer "F.Cu") (net 84) (tstamp 21ef9b03-1eb8-4427-8cd4-c47c07660d1f)) + (segment (start 118.7685 133.858) (end 118.7685 131.4215) (width 0.25) (layer "F.Cu") (net 84) (tstamp 46319c1d-3eb5-4504-ba4e-ce398e45bf38)) + (segment (start 119.38 138.5815) (end 119.376 138.5855) (width 0.25) (layer "F.Cu") (net 84) (tstamp 96a58f43-d389-4bf9-8e04-788bf0b530c9)) + (segment (start 118.7685 133.858) (end 118.7685 136.1675) (width 0.25) (layer "F.Cu") (net 84) (tstamp b111221f-2574-4034-8be1-612511b47d72)) + (segment (start 118.7685 136.1675) (end 119.38 136.779) (width 0.25) (layer "F.Cu") (net 84) (tstamp f58248da-2e5a-49aa-90da-6b9a7bfcb194)) + (segment (start 118.7685 131.4215) (end 119.126 131.064) (width 0.25) (layer "F.Cu") (net 84) (tstamp f9fc24b8-cf52-4e22-b5f7-dab031a5b4d6)) + (segment (start 112.401759 156.179741) (end 116.893058 156.179741) (width 0.25) (layer "F.Cu") (net 85) (tstamp 4f45f8da-6c3a-4264-a7a8-d4ea53838fe9)) + (segment (start 119.876 153.196799) (end 119.876 146.9105) (width 0.25) (layer "F.Cu") (net 85) (tstamp 74faa1f9-dc30-4acc-b427-0308e0ae7b0a)) + (segment (start 109.982 158.5995) (end 112.401759 156.179741) (width 0.25) (layer "F.Cu") (net 85) (tstamp be42548b-338a-4317-9343-1ea68725d93a)) + (segment (start 116.893058 156.179741) (end 119.876 153.196799) (width 0.25) (layer "F.Cu") (net 85) (tstamp ce6c7690-91cc-4b17-9545-469ffef379cf)) + (segment (start 111.506 158.5995) (end 113.475759 156.629741) (width 0.25) (layer "F.Cu") (net 86) (tstamp 19f132e9-3112-45b0-93c9-3dcf4b908996)) + (segment (start 113.475759 156.629741) (end 117.079454 156.629741) (width 0.25) (layer "F.Cu") (net 86) (tstamp 3344152a-9484-4950-b50e-af59d329f051)) + (segment (start 120.376 153.333195) (end 120.376 146.9105) (width 0.25) (layer "F.Cu") (net 86) (tstamp ad2c271a-4a59-4431-8578-6219a9b15e78)) + (segment (start 117.079454 156.629741) (end 120.376 153.333195) (width 0.25) (layer "F.Cu") (net 86) (tstamp da48be16-f804-4594-aca0-b845ef1db49a)) + (segment (start 123.2885 141.998) (end 125.3605 141.998) (width 0.25) (layer "F.Cu") (net 87) (tstamp 3fb1c30a-ef70-4ec9-8d77-6acb6e62ab74)) + (segment (start 125.476 141.8825) (end 127 141.8825) (width 0.25) (layer "F.Cu") (net 87) (tstamp 4c2f0701-6cb1-4d3b-a173-796f561f5808)) + (segment (start 125.3605 141.998) (end 125.476 141.8825) (width 0.25) (layer "F.Cu") (net 87) (tstamp 9f9df08b-37d5-4003-9da9-3765808d1937)) + (segment (start 115.766685 143.498) (end 114.9635 143.498) (width 0.25) (layer "F.Cu") (net 88) (tstamp 72955b35-28bf-4da2-84a5-87878c2889f0)) + (segment (start 116.332 144.063315) (end 115.766685 143.498) (width 0.25) (layer "F.Cu") (net 88) (tstamp aab6cc69-2879-42d4-8782-3623898821c9)) + (segment (start 116.332 145.288) (end 116.332 144.063315) (width 0.25) (layer "F.Cu") (net 88) (tstamp e7b519d1-2c71-4995-a777-3438035d1386)) + (via (at 116.332 145.288) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 88) (tstamp 9ebb0cab-5cca-4ed5-b5bb-2c382137dd34)) + (segment (start 114.612 147.008) (end 116.332 145.288) (width 0.25) (layer "B.Cu") (net 88) (tstamp 27e371ba-a98e-4c22-9e15-bcd3148af359)) + (segment (start 112.4295 147.008) (end 114.612 147.008) (width 0.25) (layer "B.Cu") (net 88) (tstamp 4f0404ae-b9c9-4945-909f-26e2a8af3538)) + (segment (start 111.3555 149.606) (end 111.3555 148.082) (width 0.25) (layer "B.Cu") (net 88) (tstamp 6aef8543-440e-4c00-8ed0-380871016e6f)) + (segment (start 111.3555 148.082) (end 112.4295 147.008) (width 0.25) (layer "B.Cu") (net 88) (tstamp e0ed8430-4bf5-45ba-9dda-a9c604eda9a9)) + (segment (start 119.119236 126.238) (end 117.350792 126.238) (width 0.25) (layer "F.Cu") (net 102) (tstamp 03d11a81-cf55-443d-87f9-528513850eec)) + (segment (start 112.776 139.113685) (end 114.160315 140.498) (width 0.25) (layer "F.Cu") (net 102) (tstamp 3643f319-9919-4e79-b14b-3004dd29301a)) + (segment (start 117.350792 126.238) (end 112.776 130.812792) (width 0.25) (layer "F.Cu") (net 102) (tstamp 4c9ba56d-4e5a-4236-b413-74cfecce0e5b)) + (segment (start 124.556 121.2005) (end 124.556 121.584132) (width 0.25) (layer "F.Cu") (net 102) (tstamp 6804cad3-7c52-430c-9c7a-a08fdff6dbda)) + (segment (start 122.541865 123.598267) (end 121.758969 123.598267) (width 0.25) (layer "F.Cu") (net 102) (tstamp 9d7c9440-6856-4a7b-98b6-50343aee720a)) + (segment (start 114.160315 140.498) (end 114.9635 140.498) (width 0.25) (layer "F.Cu") (net 102) (tstamp a075d1b9-d290-42aa-9352-65382a0e99a2)) + (segment (start 124.556 121.584132) (end 122.541865 123.598267) (width 0.25) (layer "F.Cu") (net 102) (tstamp bd939464-6ea2-471a-83c9-d6038be79460)) + (segment (start 112.776 130.812792) (end 112.776 139.113685) (width 0.25) (layer "F.Cu") (net 102) (tstamp eddb2397-15f0-4c83-b29c-cd8aa0130aa0)) + (segment (start 121.758969 123.598267) (end 119.119236 126.238) (width 0.25) (layer "F.Cu") (net 102) (tstamp fac6c20b-db13-40ec-ad56-9b487d6228a5)) + (segment (start 112.559 143.463695) (end 112.559 143.482305) (width 0.25) (layer "F.Cu") (net 103) (tstamp 530585dd-6d5c-483f-9f8c-95ca3c8109ad)) + (segment (start 113.524695 142.498) (end 112.559 143.463695) (width 0.25) (layer "F.Cu") (net 103) (tstamp 63407fc0-7936-496e-b01f-3a1a89bcb053)) + (segment (start 111.997 144.044305) (end 111.997 150.858988) (width 0.25) (layer "F.Cu") (net 103) (tstamp 7e3be21c-27c5-4be5-9f07-15d1fbebbcc2)) + (segment (start 112.559 143.482305) (end 111.997 144.044305) (width 0.25) (layer "F.Cu") (net 103) (tstamp 8557fb09-0247-498b-bec6-d3a7855b6f0a)) + (segment (start 114.9635 142.498) (end 113.524695 142.498) (width 0.25) (layer "F.Cu") (net 103) (tstamp 9ad92202-63c9-45db-8b62-13c7a725a46d)) + (segment (start 111.997 150.858988) (end 116.592753 155.454741) (width 0.25) (layer "F.Cu") (net 103) (tstamp afa61c74-0260-430a-a317-e095ae6ccb2a)) + (via (at 116.592753 155.454741) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 103) (tstamp 08b1cebd-e913-4fd7-8bf0-01fe87353a78)) + (segment (start 125.222 164.592) (end 123.952 163.322) (width 0.25) (layer "B.Cu") (net 103) (tstamp 217fbd9a-c458-42f9-8b58-7d99031bb6fb)) + (segment (start 123.952 163.322) (end 123.952 158.025799) (width 0.25) (layer "B.Cu") (net 103) (tstamp 900317d4-45bb-4d14-ae7e-97f40a198ed1)) + (segment (start 121.380942 155.454741) (end 116.592753 155.454741) (width 0.25) (layer "B.Cu") (net 103) (tstamp f4d4d069-c49a-454d-b10e-cc1681e5bfc6)) + (segment (start 123.952 158.025799) (end 121.380942 155.454741) (width 0.25) (layer "B.Cu") (net 103) (tstamp f7bee9bf-c44c-496d-857f-cd2a07954e62)) + (segment (start 118.545196 145.478501) (end 118.935499 145.478501) (width 0.25) (layer "F.Cu") (net 104) (tstamp 6d8c196b-3351-4b3b-a2a7-92d890aa26e6)) + (segment (start 114.9635 142.998) (end 116.064695 142.998) (width 0.25) (layer "F.Cu") (net 104) (tstamp 921569ae-55f3-4209-907d-80ed38c9bc3b)) + (segment (start 116.064695 142.998) (end 118.545196 145.478501) (width 0.25) (layer "F.Cu") (net 104) (tstamp c1e0a6d9-a43b-4c24-9ff9-dc93c8bd77cb)) + (via (at 118.935499 145.478501) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 104) (tstamp bb727322-151a-4648-adee-044b39a53b53)) + (segment (start 126.3995 152.942502) (end 118.935499 145.478501) (width 0.25) (layer "B.Cu") (net 104) (tstamp 514b353a-c347-4ded-97f6-99c3454e24af)) + (segment (start 127.762 164.592) (end 126.3995 163.2295) (width 0.25) (layer "B.Cu") (net 104) (tstamp 765b9cb4-958b-4116-940a-2104154ca4e3)) + (segment (start 126.3995 163.2295) (end 126.3995 152.942502) (width 0.25) (layer "B.Cu") (net 104) (tstamp a0ed8e15-7d98-4465-9269-7edaf311b3a9)) + (segment (start 108.204 112.014) (end 108.204 143.002006) (width 0.25) (layer "F.Cu") (net 105) (tstamp 1bdd9665-5613-49ed-9a8a-0fc17459849d)) + (segment (start 108.204 143.002006) (end 109.473994 144.272) (width 0.25) (layer "F.Cu") (net 105) (tstamp 4a4be5d9-5adb-456a-8716-5e8894b4b6b6)) + (segment (start 113.36507 143.998) (end 113.227262 143.860192) (width 0.25) (layer "F.Cu") (net 105) (tstamp 89572235-841d-47c2-a9a9-e9c8b62a7abe)) + (segment (start 116.84 103.378) (end 108.204 112.014) (width 0.25) (layer "F.Cu") (net 105) (tstamp 8c38e677-f46b-460f-bb18-5e22b06d9a93)) + (segment (start 114.9635 143.998) (end 113.36507 143.998) (width 0.25) (layer "F.Cu") (net 105) (tstamp 8cf99f98-2931-4c67-9b9b-c8543337cf8c)) + (segment (start 118.872 103.378) (end 116.84 103.378) (width 0.25) (layer "F.Cu") (net 105) (tstamp bc1d513c-382c-4440-bd4b-9b5f9a4cd922)) + (segment (start 120.556 106.1505) (end 120.556 105.062) (width 0.25) (layer "F.Cu") (net 105) (tstamp cabdd948-fefc-4368-95f4-87618a622ed4)) + (segment (start 120.556 105.062) (end 118.872 103.378) (width 0.25) (layer "F.Cu") (net 105) (tstamp daef9462-1dd5-4886-acb6-faf67fc4d720)) + (via (at 113.227262 143.860192) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 105) (tstamp 5e8c6a7e-204f-4561-baa6-935d7d151a69)) + (via (at 109.473994 144.272) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 105) (tstamp e1276df6-9185-4acf-ace7-b5cfdc5cb4af)) + (segment (start 113.03 144.272) (end 113.227262 144.074738) (width 0.25) (layer "B.Cu") (net 105) (tstamp 3e7ee9d2-5be5-4f0a-852c-570a9a7f563d)) + (segment (start 113.227262 144.074738) (end 113.227262 143.860192) (width 0.25) (layer "B.Cu") (net 105) (tstamp 55306ce8-3dd7-4a7e-ad5f-5a7b3e9df39d)) + (segment (start 109.473994 144.272) (end 113.03 144.272) (width 0.25) (layer "B.Cu") (net 105) (tstamp a7fcd251-5ae1-4a79-a9d6-fb4b5a7484f4)) + (segment (start 126.556 121.602) (end 123.819077 124.338923) (width 0.25) (layer "F.Cu") (net 106) (tstamp 1de3cdad-f35c-4237-bcf5-6cdb78913774)) + (segment (start 114.9635 144.498) (end 113.571975 144.498) (width 0.25) (layer "F.Cu") (net 106) (tstamp 5a0b9a75-ff4e-4e1a-a550-bcf9eb9b7f7f)) + (segment (start 123.819077 124.338923) (end 122.043618 124.338923) (width 0.25) (layer "F.Cu") (net 106) (tstamp 6058ac95-197c-4661-a51f-9b5377dda6b6)) + (segment (start 113.571975 144.498) (end 112.721982 145.347993) (width 0.25) (layer "F.Cu") (net 106) (tstamp 997f1905-cb9b-4858-b7c2-aa78cf3f09b5)) + (segment (start 126.556 121.2005) (end 126.556 121.602) (width 0.25) (layer "F.Cu") (net 106) (tstamp a1166a04-3c27-488a-8030-51395543d2d0)) + (segment (start 109.379 128.873) (end 109.379 142.296573) (width 0.25) (layer "F.Cu") (net 106) (tstamp a96978eb-caec-46e2-af83-d6c105ce52e3)) + (segment (start 114.046 124.206) (end 109.379 128.873) (width 0.25) (layer "F.Cu") (net 106) (tstamp ae69180e-4ea2-44e4-8fb1-8dac70f1a588)) + (segment (start 109.379 142.296573) (end 108.95144 142.724133) (width 0.25) (layer "F.Cu") (net 106) (tstamp f7e42044-bae3-47cc-af38-31b4d2314c0a)) + (via (at 122.043618 124.338923) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 106) (tstamp 52bc9508-6987-4ca7-bdac-7bed6c4249a9)) + (via (at 112.721982 145.347993) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 106) (tstamp 5573ee3f-1399-46ed-8a94-073d37572b3c)) + (via (at 108.95144 142.724133) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 106) (tstamp 78c700a3-41a3-4d1a-9f2f-3474e083875b)) + (via (at 114.046 124.206) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 106) (tstamp e462ec67-c004-4102-979a-4bba1fb61479)) + (segment (start 112.721982 145.347993) (end 109.270686 145.347993) (width 0.25) (layer "B.Cu") (net 106) (tstamp 11633caf-bccb-4c2e-9003-8e4627ec4a47)) + (segment (start 114.046 124.206) (end 121.910695 124.206) (width 0.25) (layer "B.Cu") (net 106) (tstamp 35486c2f-c46d-414c-93bd-9f08b1f2aac7)) + (segment (start 121.910695 124.206) (end 122.043618 124.338923) (width 0.25) (layer "B.Cu") (net 106) (tstamp 7b9887af-7d5d-4302-8a7d-28084072dfb4)) + (segment (start 108.494998 143.180575) (end 108.95144 142.724133) (width 0.25) (layer "B.Cu") (net 106) (tstamp 9fccce92-f80f-4e93-82f2-75f8f6a4be72)) + (segment (start 108.494998 144.572305) (end 108.494998 143.180575) (width 0.25) (layer "B.Cu") (net 106) (tstamp bc30a08d-5f4e-4bbe-a329-4a888890df7c)) + (segment (start 109.270686 145.347993) (end 108.494998 144.572305) (width 0.25) (layer "B.Cu") (net 106) (tstamp e17e9d39-c865-4c53-8e3b-670d68a674fa)) + (segment (start 116.078 148.59) (end 116.332 148.336) (width 0.25) (layer "F.Cu") (net 107) (tstamp 1307730b-3bfe-49d2-9b7d-19f41c6556e0)) + (segment (start 116.332 146.9545) (end 116.376 146.9105) (width 0.25) (layer "F.Cu") (net 107) (tstamp 5f58290b-2352-4474-8730-c33c8cc11adc)) + (segment (start 116.332 148.336) (end 116.332 146.9545) (width 0.25) (layer "F.Cu") (net 107) (tstamp 95d731e4-e200-4334-8728-559a87ed6785)) + (via (at 116.078 148.59) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 107) (tstamp 72fa7590-d4d3-47f0-8d7b-f71dfcaaf862)) + (segment (start 109.22 155.702) (end 116.078 148.844) (width 0.25) (layer "B.Cu") (net 107) (tstamp 0c8e1697-1f79-4a9b-8d93-04b8cbbbd1da)) + (segment (start 91.968 137.983706) (end 95.25 141.265706) (width 0.25) (layer "B.Cu") (net 107) (tstamp 24787f21-c576-4997-86e7-0be57e259514)) + (segment (start 101.6 102.294) (end 91.968 111.926) (width 0.25) (layer "B.Cu") (net 107) (tstamp 3309f79d-347f-4457-98a2-030f93843f32)) + (segment (start 91.968 111.926) (end 91.968 137.983706) (width 0.25) (layer "B.Cu") (net 107) (tstamp 40995e5b-8209-413c-a033-9d9dcb9ee0cd)) + (segment (start 95.25 141.265706) (end 95.25 151.13) (width 0.25) (layer "B.Cu") (net 107) (tstamp 8796b9e0-e082-4435-9614-106bebca195b)) + (segment (start 95.25 151.13) (end 99.822 155.702) (width 0.25) (layer "B.Cu") (net 107) (tstamp b8b037ee-1f10-4be7-b44b-a68c0fc23d42)) + (segment (start 116.078 148.844) (end 116.078 148.59) (width 0.25) (layer "B.Cu") (net 107) (tstamp ba5aa499-a381-4fa7-885c-d9a0d90fa62c)) + (segment (start 99.822 155.702) (end 109.22 155.702) (width 0.25) (layer "B.Cu") (net 107) (tstamp e89cf167-81a9-4ed7-99cb-491a9063f498)) + (segment (start 117.376 146.9105) (end 117.376 148.816) (width 0.25) (layer "F.Cu") (net 109) (tstamp da818935-1438-4701-9250-69d0fb382eec)) + (segment (start 117.376 148.816) (end 117.094 149.098) (width 0.25) (layer "F.Cu") (net 109) (tstamp f22366a2-a29f-4cd3-ae06-195b3fc8b4d4)) + (via (at 117.094 149.098) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 109) (tstamp 8c8d4f17-3971-4b2d-b646-ffa18355562e)) + (segment (start 94.488 141.140102) (end 91.518 138.170102) (width 0.25) (layer "B.Cu") (net 109) (tstamp 09fd40f6-b5a9-451a-a95a-bffd58f9c241)) + (segment (start 109.982 156.21) (end 99.693604 156.21) (width 0.25) (layer "B.Cu") (net 109) (tstamp 15a42c4d-e06f-4500-95b4-313cc199d986)) + (segment (start 91.518 138.170102) (end 91.518 99.976) (width 0.25) (layer "B.Cu") (net 109) (tstamp 1f6ba836-4350-489a-a7cf-e57d2b00b95c)) + (segment (start 94.488 151.004396) (end 94.488 141.140102) (width 0.25) (layer "B.Cu") (net 109) (tstamp 82f665ce-b004-43c0-a308-b6b4db3a86f6)) + (segment (start 99.693604 156.21) (end 94.488 151.004396) (width 0.25) (layer "B.Cu") (net 109) (tstamp 92812aac-0d21-40c4-a39b-f4b31234602e)) + (segment (start 91.518 99.976) (end 101.6 89.894) (width 0.25) (layer "B.Cu") (net 109) (tstamp a7210adc-d07c-4a45-871d-dc8459ffdc6b)) + (segment (start 117.094 149.098) (end 109.982 156.21) (width 0.25) (layer "B.Cu") (net 109) (tstamp df2e0209-6c89-48e3-8f45-91a8d1b713ca)) + (segment (start 164.358 101.334) (end 166.656 103.632) (width 1.2) (layer "F.Cu") (net 119) (tstamp 0f7a7b6c-81dd-4ddf-afbd-7d400ea49381)) + (segment (start 157.71 101.334) (end 164.358 101.334) (width 1.2) (layer "F.Cu") (net 119) (tstamp 51728f04-a972-4e47-ad51-8ae6c83ced15)) + + (zone (net 2) (net_name "GND") (layer "F.Cu") (tstamp 34e53f24-95ac-4001-ba6a-4671fb36f2c5) (hatch edge 0.5) + (connect_pads yes (clearance 0)) + (min_thickness 0.2) (filled_areas_thickness no) + (fill yes (thermal_gap 0.5) (thermal_bridge_width 0.5) (island_removal_mode 1) (island_area_min 10)) + (polygon + (pts + (xy 165.354 109.728) + (xy 170.942 109.728) + (xy 170.942 144.018) + (xy 165.354 144.018) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 170.901191 109.746907) + (xy 170.937155 109.796407) + (xy 170.942 109.827) + (xy 170.942 140.7995) + (xy 170.923093 140.857691) + (xy 170.873593 140.893655) + (xy 170.843 140.8985) + (xy 170.375037 140.8985) + (xy 170.316846 140.879593) + (xy 170.280882 140.830093) + (xy 170.27647 140.808745) + (xy 170.274646 140.789305) + (xy 170.274646 140.789301) + (xy 170.229793 140.661118) + (xy 170.14915 140.55185) + (xy 170.149146 140.551847) + (xy 170.149144 140.551845) + (xy 170.039883 140.471207) + (xy 169.911703 140.426355) + (xy 169.911694 140.426353) + (xy 169.881274 140.4235) + (xy 169.881266 140.4235) + (xy 169.222734 140.4235) + (xy 169.222725 140.4235) + (xy 169.192305 140.426353) + (xy 169.192296 140.426355) + (xy 169.064116 140.471207) + (xy 168.954855 140.551845) + (xy 168.954845 140.551855) + (xy 168.874207 140.661116) + (xy 168.829355 140.789296) + (xy 168.829353 140.789305) + (xy 168.8265 140.819725) + (xy 168.8265 141.628274) + (xy 168.829353 141.658694) + (xy 168.829355 141.658703) + (xy 168.874207 141.786883) + (xy 168.954845 141.896144) + (xy 168.954847 141.896146) + (xy 168.95485 141.89615) + (xy 168.954853 141.896152) + (xy 168.954855 141.896154) + (xy 169.064116 141.976792) + (xy 169.064117 141.976792) + (xy 169.064118 141.976793) + (xy 169.192301 142.021646) + (xy 169.222725 142.024499) + (xy 169.222727 142.0245) + (xy 169.222734 142.0245) + (xy 169.881273 142.0245) + (xy 169.881273 142.024499) + (xy 169.911699 142.021646) + (xy 170.039882 141.976793) + (xy 170.14915 141.89615) + (xy 170.229793 141.786882) + (xy 170.274646 141.658699) + (xy 170.275488 141.649712) + (xy 170.27647 141.639255) + (xy 170.300728 141.583085) + (xy 170.35337 141.5519) + (xy 170.375037 141.5495) + (xy 170.843 141.5495) + (xy 170.901191 141.568407) + (xy 170.937155 141.617907) + (xy 170.942 141.6485) + (xy 170.942 142.731487) + (xy 170.929097 142.774024) + (xy 170.930866 142.774757) + (xy 170.927132 142.783772) + (xy 170.915501 142.842241) + (xy 170.9155 142.842253) + (xy 170.9155 144.018) + (xy 168.402 144.018) + (xy 168.402 109.728) + (xy 168.402001 109.728) + (xy 170.843 109.728) + ) + ) + ) + (zone (net 0) (net_name "") (layer "F.Cu") (tstamp 3b171a32-4b8d-444d-9367-950e88fb36a1) (hatch edge 0.5) + (connect_pads (clearance 0)) + (min_thickness 0.25) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.5) (thermal_bridge_width 0.5)) + (polygon + (pts + (xy 129.54 100.584) + (xy 131.572 100.584) + (xy 131.572 102.87) + (xy 136.652 102.87) + (xy 136.652 110.744) + (xy 132.588 110.744) + (xy 132.588 107.696) + (xy 129.54 107.696) + ) + ) + ) + (zone (net 21) (net_name "Net-(C22-Pad1)") (layer "F.Cu") (tstamp 4e92f4c4-40e3-40ce-995b-f3215d456ecf) (hatch edge 0.5) + (connect_pads yes (clearance 0)) + (min_thickness 0.2) (filled_areas_thickness no) + (fill yes (thermal_gap 0.5) (thermal_bridge_width 0.5) (island_removal_mode 1) (island_area_min 10)) + (polygon + (pts + (xy 172.466 116.586) + (xy 174.498 116.586) + (xy 174.498 131.318) + (xy 172.466 131.318) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 174.457191 116.604907) + (xy 174.493155 116.654407) + (xy 174.498 116.685) + (xy 174.498 131.219) + (xy 174.479093 131.277191) + (xy 174.429593 131.313155) + (xy 174.399 131.318) + (xy 172.565 131.318) + (xy 172.506809 131.299093) + (xy 172.470845 131.249593) + (xy 172.466 131.219) + (xy 172.466 116.685) + (xy 172.484907 116.626809) + (xy 172.534407 116.590845) + (xy 172.565 116.586) + (xy 174.399 116.586) + ) + ) + ) + (zone (net 13) (net_name "Net-(D1-A)") (layer "F.Cu") (tstamp 4efc46cb-d8d2-462d-b4f8-02cd054f2642) (hatch edge 0.5) + (connect_pads yes (clearance 0)) + (min_thickness 0.2) (filled_areas_thickness no) + (fill yes (thermal_gap 0.5) (thermal_bridge_width 0.5) (island_removal_mode 1) (island_area_min 10)) + (polygon + (pts + (xy 136.906 101.346) + (xy 134.874 101.346) + (xy 134.874 95.758) + (xy 136.906 95.758) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 136.865191 95.776907) + (xy 136.901155 95.826407) + (xy 136.906 95.857) + (xy 136.906 101.247) + (xy 136.887093 101.305191) + (xy 136.837593 101.341155) + (xy 136.807 101.346) + (xy 134.973 101.346) + (xy 134.914809 101.327093) + (xy 134.878845 101.277593) + (xy 134.874 101.247) + (xy 134.874 95.857) + (xy 134.892907 95.798809) + (xy 134.942407 95.762845) + (xy 134.973 95.758) + (xy 136.807 95.758) + ) + ) + ) + (zone (net 17) (net_name "Net-(Q1-G)") (layer "F.Cu") (tstamp 586a333a-52db-480a-90c6-07a0332cedae) (hatch edge 0.5) + (connect_pads yes (clearance 0)) + (min_thickness 0.2) (filled_areas_thickness no) + (fill yes (thermal_gap 0.5) (thermal_bridge_width 0.5) (island_removal_mode 1) (island_area_min 10)) + (polygon + (pts + (xy 167.386 83.312) + (xy 179.578 83.312) + (xy 179.578 90.678) + (xy 167.386 90.678) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 179.537191 83.330907) + (xy 179.573155 83.380407) + (xy 179.578 83.411) + (xy 179.578 90.579) + (xy 179.559093 90.637191) + (xy 179.509593 90.673155) + (xy 179.479 90.678) + (xy 167.485 90.678) + (xy 167.426809 90.659093) + (xy 167.390845 90.609593) + (xy 167.386 90.579) + (xy 167.386 83.411) + (xy 167.404907 83.352809) + (xy 167.454407 83.316845) + (xy 167.485 83.312) + (xy 172.465999 83.312) + (xy 172.466 83.312) + (xy 174.498 83.312) + (xy 174.498001 83.312) + (xy 179.479 83.312) + ) + ) + ) + (zone (net 19) (net_name "Net-(Q1-D)") (layer "F.Cu") (tstamp 5ba6c7ff-7aa4-40b4-a7f0-39ac75d30fbe) (hatch edge 0.5) + (connect_pads yes (clearance 0)) + (min_thickness 0.2) (filled_areas_thickness no) + (fill yes (thermal_gap 0.5) (thermal_bridge_width 0.5) (island_removal_mode 1) (island_area_min 10)) + (polygon + (pts + (xy 169.672 97.536) + (xy 177.292 97.536) + (xy 177.292 108.712) + (xy 169.672 108.712) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 177.251191 97.554907) + (xy 177.287155 97.604407) + (xy 177.292 97.635) + (xy 177.292 108.613) + (xy 177.273093 108.671191) + (xy 177.223593 108.707155) + (xy 177.193 108.712) + (xy 169.771 108.712) + (xy 169.712809 108.693093) + (xy 169.676845 108.643593) + (xy 169.672 108.613) + (xy 169.672 97.635) + (xy 169.690907 97.576809) + (xy 169.740407 97.540845) + (xy 169.771 97.536) + (xy 177.193 97.536) + ) + ) + ) + (zone (net 2) (net_name "GND") (layer "F.Cu") (tstamp 63f9a64d-8dea-4c9d-969d-8705747253e2) (hatch edge 0.5) + (connect_pads yes (clearance 0)) + (min_thickness 0.2) (filled_areas_thickness no) + (fill yes (thermal_gap 0.5) (thermal_bridge_width 0.5) (island_removal_mode 1) (island_area_min 10)) + (polygon + (pts + (xy 184.15 168.148) + (xy 168.402 168.656) + (xy 168.402 144.018) + (xy 184.658 144.018) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 183.9995 157.9005) + (xy 183.980593 157.958691) + (xy 183.931093 157.994655) + (xy 183.9005 157.9995) + (xy 183.717148 157.9995) + (xy 183.611853 158.006124) + (xy 183.394517 158.019797) + (xy 183.393029 158.019869) + (xy 183.341836 158.021545) + (xy 183.332086 158.023726) + (xy 183.152556 158.035021) + (xy 183.152548 158.035022) + (xy 182.803442 158.079125) + (xy 182.802091 158.079276) + (xy 182.764511 158.082978) + (xy 182.75806 158.084858) + (xy 182.591324 158.105922) + (xy 182.591291 158.105927) + (xy 182.214008 158.177898) + (xy 182.212797 158.178113) + (xy 182.192279 158.1815) + (xy 182.189118 158.182645) + (xy 182.035634 158.211924) + (xy 182.03563 158.211925) + (xy 181.627722 158.316658) + (xy 181.627604 158.316686) + (xy 181.627592 158.316692) + (xy 181.487704 158.352609) + (xy 180.949684 158.527422) + (xy 180.4237 158.735674) + (xy 179.91184 158.976537) + (xy 179.911826 158.976544) + (xy 179.416102 159.249072) + (xy 179.416097 159.249075) + (xy 178.938473 159.552185) + (xy 178.480807 159.884698) + (xy 178.044923 160.245294) + (xy 177.632558 160.63253) + (xy 177.63253 160.632558) + (xy 177.245294 161.044923) + (xy 176.884698 161.480807) + (xy 176.552185 161.938473) + (xy 176.249075 162.416097) + (xy 176.249072 162.416102) + (xy 175.976544 162.911826) + (xy 175.976537 162.91184) + (xy 175.735674 163.4237) + (xy 175.527422 163.949684) + (xy 175.352609 164.487704) + (xy 175.316692 164.627592) + (xy 175.316688 164.627597) + (xy 175.316658 164.627722) + (xy 175.211925 165.03563) + (xy 175.211924 165.035634) + (xy 175.182645 165.189118) + (xy 175.181755 165.190735) + (xy 175.178113 165.212797) + (xy 175.177898 165.214008) + (xy 175.105927 165.591291) + (xy 175.105922 165.591324) + (xy 175.084858 165.75806) + (xy 175.083284 165.761404) + (xy 175.079276 165.802091) + (xy 175.079125 165.803442) + (xy 175.035022 166.152548) + (xy 175.035021 166.152556) + (xy 175.023726 166.332086) + (xy 175.021696 166.337211) + (xy 175.019869 166.393029) + (xy 175.019797 166.394517) + (xy 175.006124 166.611853) + (xy 174.9995 166.717148) + (xy 174.9995 166.717157) + (xy 174.9995 166.717158) + (xy 174.9995 166.9005) + (xy 174.980593 166.958691) + (xy 174.931093 166.994655) + (xy 174.9005 166.9995) + (xy 168.402 166.9995) + (xy 168.402 163.575999) + (xy 170.46039 163.575999) + (xy 170.480803 163.861422) + (xy 170.480803 163.861425) + (xy 170.480804 163.861428) + (xy 170.541631 164.141046) + (xy 170.541632 164.14105) + (xy 170.641633 164.409162) + (xy 170.641634 164.409164) + (xy 170.77697 164.657011) + (xy 170.778774 164.660315) + (xy 170.950261 164.889395) + (xy 171.152605 165.091739) + (xy 171.381685 165.263226) + (xy 171.632839 165.400367) + (xy 171.900954 165.500369) + (xy 172.180572 165.561196) + (xy 172.466 165.58161) + (xy 172.751428 165.561196) + (xy 173.031046 165.500369) + (xy 173.299161 165.400367) + (xy 173.550315 165.263226) + (xy 173.779395 165.091739) + (xy 173.981739 164.889395) + (xy 174.153226 164.660315) + (xy 174.290367 164.409161) + (xy 174.390369 164.141046) + (xy 174.451196 163.861428) + (xy 174.47161 163.576) + (xy 174.451196 163.290572) + (xy 174.390369 163.010954) + (xy 174.290367 162.742839) + (xy 174.153226 162.491685) + (xy 173.981739 162.262605) + (xy 173.779395 162.060261) + (xy 173.550315 161.888774) + (xy 173.55031 161.888771) + (xy 173.299164 161.751634) + (xy 173.299162 161.751633) + (xy 173.03105 161.651632) + (xy 173.031046 161.651631) + (xy 172.751428 161.590804) + (xy 172.751425 161.590803) + (xy 172.751422 161.590803) + (xy 172.466 161.57039) + (xy 172.180577 161.590803) + (xy 171.900949 161.651632) + (xy 171.632837 161.751633) + (xy 171.632835 161.751634) + (xy 171.381689 161.888771) + (xy 171.152609 162.060257) + (xy 170.950257 162.262609) + (xy 170.778771 162.491689) + (xy 170.641634 162.742835) + (xy 170.641633 162.742837) + (xy 170.541632 163.010949) + (xy 170.480803 163.290577) + (xy 170.46039 163.575999) + (xy 168.402 163.575999) + (xy 168.402 153.416) + (xy 169.319 153.416) + (xy 169.377191 153.434907) + (xy 169.413155 153.484407) + (xy 169.418 153.515) + (xy 169.418 155.448) + (xy 169.418001 155.448) + (xy 175.513999 155.448) + (xy 175.514 155.448) + (xy 175.514 149.352) + (xy 175.513999 149.352) + (xy 173.581 149.352) + (xy 173.522809 149.333093) + (xy 173.486845 149.283593) + (xy 173.482 149.253) + (xy 173.482 145.0615) + (xy 173.500907 145.003309) + (xy 173.550407 144.967345) + (xy 173.581 144.9625) + (xy 173.835747 144.9625) + (xy 173.835748 144.9625) + (xy 173.894231 144.950867) + (xy 173.960552 144.906552) + (xy 174.004867 144.840231) + (xy 174.0165 144.781748) + (xy 174.0165 144.116999) + (xy 174.035407 144.058809) + (xy 174.084907 144.022845) + (xy 174.1155 144.018) + (xy 176.021999 144.018) + (xy 183.9995 144.018) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 170.9155 144.781746) + (xy 170.915501 144.781758) + (xy 170.927132 144.840227) + (xy 170.927134 144.840233) + (xy 170.971445 144.906548) + (xy 170.971448 144.906552) + (xy 171.037769 144.950867) + (xy 171.082231 144.959711) + (xy 171.096241 144.962498) + (xy 171.096246 144.962498) + (xy 171.096252 144.9625) + (xy 171.096253 144.9625) + (xy 171.351 144.9625) + (xy 171.409191 144.981407) + (xy 171.445155 145.030907) + (xy 171.45 145.0615) + (xy 171.45 149.253) + (xy 171.431093 149.311191) + (xy 171.381593 149.347155) + (xy 171.351 149.352) + (xy 169.418 149.352) + (xy 169.418 149.352001) + (xy 169.418 151.285) + (xy 169.399093 151.343191) + (xy 169.349593 151.379155) + (xy 169.319 151.384) + (xy 168.402 151.384) + (xy 168.402 144.018) + (xy 170.9155 144.018) + ) + ) + (filled_polygon + (layer "F.Cu") + (island) + (pts + (xy 172.774691 144.036907) + (xy 172.810655 144.086407) + (xy 172.8155 144.117) + (xy 172.8155 144.781746) + (xy 172.815501 144.781758) + (xy 172.827132 144.840227) + (xy 172.827134 144.840233) + (xy 172.853705 144.879998) + (xy 172.870314 144.938886) + (xy 172.849137 144.99629) + (xy 172.798263 145.030283) + (xy 172.77139 145.034) + (xy 172.16061 145.034) + (xy 172.102419 145.015093) + (xy 172.066455 144.965593) + (xy 172.066455 144.904407) + (xy 172.078295 144.879998) + (xy 172.104867 144.840231) + (xy 172.1165 144.781748) + (xy 172.1165 144.117) + (xy 172.135407 144.058809) + (xy 172.184907 144.022845) + (xy 172.2155 144.018) + (xy 172.7165 144.018) + ) + ) + ) + (zone (net 2) (net_name "GND") (layer "F.Cu") (tstamp 686d925e-8dc2-4567-94e9-84dcee5c1d6e) (hatch edge 0.5) + (connect_pads yes (clearance 0)) + (min_thickness 0.2) (filled_areas_thickness no) + (fill yes (thermal_gap 0.5) (thermal_bridge_width 0.5) (island_removal_mode 1) (island_area_min 10)) + (polygon + (pts + (xy 180.848 76.2) + (xy 186.182 76.2) + (xy 186.182 96.012) + (xy 180.848 96.012) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 180.949684 76.472578) + (xy 181.487701 76.64739) + (xy 182.035633 76.788075) + (xy 182.189116 76.817353) + (xy 182.190734 76.818242) + (xy 182.212767 76.82188) + (xy 182.213949 76.82209) + (xy 182.591317 76.894077) + (xy 182.758056 76.915141) + (xy 182.7614 76.916714) + (xy 182.802066 76.920719) + (xy 182.803353 76.920863) + (xy 183.15256 76.964979) + (xy 183.332074 76.976273) + (xy 183.337199 76.978302) + (xy 183.393006 76.980128) + (xy 183.394473 76.980198) + (xy 183.717148 77.0005) + (xy 183.9005 77.0005) + (xy 183.958691 77.019407) + (xy 183.994655 77.068907) + (xy 183.9995 77.0995) + (xy 183.9995 96.012) + (xy 180.848 96.012) + (xy 180.848 76.432318) + ) + ) + ) + (zone (net 0) (net_name "") (layer "F.Cu") (tstamp 6b6abd0f-daab-4919-a730-de3f713feaf1) (hatch edge 0.5) + (connect_pads (clearance 0)) + (min_thickness 0.25) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.5) (thermal_bridge_width 0.5)) + (polygon + (pts + (xy 108.204 71.628) + (xy 119.38 71.628) + (xy 119.38 87.884) + (xy 108.204 87.884) + ) + ) + ) + (zone (net 2) (net_name "GND") (layer "F.Cu") (tstamp 701f19d2-b66a-43c1-b25f-b2bfb1b4d9ef) (hatch edge 0.5) + (connect_pads yes (clearance 0)) + (min_thickness 0.2) (filled_areas_thickness no) + (fill yes (thermal_gap 0.5) (thermal_bridge_width 0.5) (island_removal_mode 1) (island_area_min 10)) + (polygon + (pts + (xy 176.022 109.728) + (xy 184.658 109.728) + (xy 184.658 144.018) + (xy 176.022 144.018) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 183.9995 144.018) + (xy 176.022 144.018) + (xy 176.022 109.827) + (xy 176.040907 109.768809) + (xy 176.090407 109.732845) + (xy 176.121 109.728) + (xy 179.069999 109.728) + (xy 183.9995 109.728) + ) + ) + ) + (zone (net 2) (net_name "GND") (layer "F.Cu") (tstamp 75b8f25c-3ea5-4abe-b45d-3d00cba08807) (hatch edge 0.5) + (priority 1) + (connect_pads (clearance 0)) + (min_thickness 0.15) (filled_areas_thickness no) + (fill yes (thermal_gap 0.4) (thermal_bridge_width 0.4) (island_removal_mode 1) (island_area_min 10)) + (polygon + (pts + (xy 138.684 95.25) + (xy 168.402 95.25) + (xy 168.402 168.656) + (xy 138.684 168.656) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 141.015242 152.082164) + (xy 141.015897 152.082835) + (xy 141.076943 152.147055) + (xy 141.076945 152.147056) + (xy 141.128079 152.182647) + (xy 141.130312 152.18433) + (xy 141.178592 152.223697) + (xy 141.20678 152.23842) + (xy 141.210441 152.240333) + (xy 141.214451 152.242763) + (xy 141.243948 152.263293) + (xy 141.243951 152.263295) + (xy 141.283522 152.280276) + (xy 141.301193 152.28786) + (xy 141.303733 152.289066) + (xy 141.327389 152.301422) + (xy 141.358951 152.317909) + (xy 141.380095 152.323958) + (xy 141.393493 152.327792) + (xy 141.397912 152.329365) + (xy 141.403463 152.331747) + (xy 141.430942 152.34354) + (xy 141.491989 152.356084) + (xy 141.49468 152.356745) + (xy 141.554582 152.373886) + (xy 141.590425 152.376614) + (xy 141.595045 152.377262) + (xy 141.630259 152.3845) + (xy 141.692565 152.3845) + (xy 141.69537 152.384606) + (xy 141.741301 152.388104) + (xy 141.757475 152.389336) + (xy 141.757475 152.389335) + (xy 141.757476 152.389336) + (xy 141.774052 152.387224) + (xy 141.793119 152.384797) + (xy 141.797798 152.3845) + (xy 142.998543 152.3845) + (xy 143.031421 152.392205) + (xy 143.049564 152.401202) + (xy 143.072815 152.412734) + (xy 143.249703 152.456724) + (xy 143.290639 152.4595) + (xy 143.93636 152.459499) + (xy 143.977297 152.456724) + (xy 144.154185 152.412734) + (xy 144.317481 152.331747) + (xy 144.459549 152.217549) + (xy 144.468323 152.206632) + (xy 144.511618 152.180406) + (xy 144.561642 152.188144) + (xy 144.583675 152.206631) + (xy 144.592451 152.217549) + (xy 144.734519 152.331747) + (xy 144.897815 152.412734) + (xy 145.074703 152.456724) + (xy 145.115639 152.4595) + (xy 145.76136 152.459499) + (xy 145.802297 152.456724) + (xy 145.979185 152.412734) + (xy 146.008826 152.398033) + (xy 146.020579 152.392205) + (xy 146.053457 152.3845) + (xy 148.0445 152.3845) + (xy 148.092066 152.401813) + (xy 148.117376 152.44565) + (xy 148.1185 152.4585) + (xy 148.1185 153.470357) + (xy 148.101187 153.517923) + (xy 148.089549 153.529065) + (xy 148.015715 153.58572) + (xy 148.015713 153.585722) + (xy 147.919464 153.711158) + (xy 147.858955 153.857238) + (xy 147.8435 153.97464) + (xy 147.8435 155.953359) + (xy 147.858955 156.070759) + (xy 147.858956 156.070763) + (xy 147.919463 156.21684) + (xy 148.015715 156.342279) + (xy 148.01572 156.342284) + (xy 148.079493 156.391218) + (xy 148.141159 156.438536) + (xy 148.287238 156.499044) + (xy 148.404639 156.5145) + (xy 149.28336 156.514499) + (xy 149.400762 156.499044) + (xy 149.546841 156.438536) + (xy 149.672282 156.342282) + (xy 149.768536 156.216841) + (xy 149.829044 156.070762) + (xy 149.8445 155.953361) + (xy 149.844499 153.97464) + (xy 149.829044 153.857238) + (xy 149.768536 153.711159) + (xy 149.724297 153.653505) + (xy 149.672286 153.585722) + (xy 149.672284 153.58572) + (xy 149.672282 153.585719) + (xy 149.672282 153.585718) + (xy 149.598451 153.529065) + (xy 149.571254 153.486373) + (xy 149.5695 153.470357) + (xy 149.5695 152.4585) + (xy 149.586813 152.410934) + (xy 149.63065 152.385624) + (xy 149.6435 152.3845) + (xy 154.6485 152.3845) + (xy 154.696066 152.401813) + (xy 154.721376 152.44565) + (xy 154.7225 152.4585) + (xy 154.7225 152.959975) + (xy 154.705187 153.007541) + (xy 154.68138 153.026269) + (xy 154.637247 153.048157) + (xy 154.637246 153.048157) + (xy 154.493062 153.164057) + (xy 154.493057 153.164062) + (xy 154.377161 153.308242) + (xy 154.377159 153.308245) + (xy 154.377158 153.308246) + (xy 154.377158 153.308247) + (xy 154.294963 153.473979) + (xy 154.267174 153.585722) + (xy 154.250316 153.653509) + (xy 154.2475 153.695041) + (xy 154.2475 154.392958) + (xy 154.250316 154.43449) + (xy 154.250316 154.434493) + (xy 154.250317 154.434495) + (xy 154.294963 154.614021) + (xy 154.377158 154.779753) + (xy 154.377159 154.779754) + (xy 154.377161 154.779757) + (xy 154.493057 154.923937) + (xy 154.49306 154.92394) + (xy 154.637247 155.039842) + (xy 154.802979 155.122037) + (xy 154.982505 155.166683) + (xy 155.000967 155.167934) + (xy 155.024041 155.1695) + (xy 155.024046 155.1695) + (xy 155.871959 155.1695) + (xy 155.892724 155.168091) + (xy 155.913495 155.166683) + (xy 156.093021 155.122037) + (xy 156.258753 155.039842) + (xy 156.40294 154.92394) + (xy 156.518842 154.779753) + (xy 156.601037 154.614021) + (xy 156.645683 154.434495) + (xy 156.6485 154.392954) + (xy 156.6485 153.695046) + (xy 156.645683 153.653505) + (xy 156.601037 153.473979) + (xy 156.518842 153.308247) + (xy 156.40294 153.16406) + (xy 156.402937 153.164057) + (xy 156.258752 153.048157) + (xy 156.21462 153.026269) + (xy 156.1797 152.989625) + (xy 156.1735 152.959975) + (xy 156.1735 152.4585) + (xy 156.190813 152.410934) + (xy 156.23465 152.385624) + (xy 156.2475 152.3845) + (xy 157.984543 152.3845) + (xy 158.017421 152.392205) + (xy 158.035564 152.401202) + (xy 158.058815 152.412734) + (xy 158.235703 152.456724) + (xy 158.276639 152.4595) + (xy 158.92236 152.459499) + (xy 158.963297 152.456724) + (xy 159.140185 152.412734) + (xy 159.303481 152.331747) + (xy 159.445549 152.217549) + (xy 159.454323 152.206632) + (xy 159.497618 152.180406) + (xy 159.547642 152.188144) + (xy 159.569675 152.206631) + (xy 159.578451 152.217549) + (xy 159.635362 152.263295) + (xy 159.647861 152.273342) + (xy 159.674089 152.316637) + (xy 159.6755 152.331019) + (xy 159.6755 152.959975) + (xy 159.658187 153.007541) + (xy 159.63438 153.026269) + (xy 159.590247 153.048157) + (xy 159.590246 153.048157) + (xy 159.446062 153.164057) + (xy 159.446057 153.164062) + (xy 159.330161 153.308242) + (xy 159.330159 153.308245) + (xy 159.330158 153.308246) + (xy 159.330158 153.308247) + (xy 159.247963 153.473979) + (xy 159.220174 153.585722) + (xy 159.203316 153.653509) + (xy 159.2005 153.695041) + (xy 159.2005 154.392958) + (xy 159.203316 154.43449) + (xy 159.203316 154.434493) + (xy 159.203317 154.434495) + (xy 159.247963 154.614021) + (xy 159.330158 154.779753) + (xy 159.330159 154.779754) + (xy 159.330161 154.779757) + (xy 159.446057 154.923937) + (xy 159.44606 154.92394) + (xy 159.590247 155.039842) + (xy 159.755979 155.122037) + (xy 159.935505 155.166683) + (xy 159.953967 155.167934) + (xy 159.977041 155.1695) + (xy 159.977046 155.1695) + (xy 160.824959 155.1695) + (xy 160.845724 155.168091) + (xy 160.866495 155.166683) + (xy 161.046021 155.122037) + (xy 161.211753 155.039842) + (xy 161.35594 154.92394) + (xy 161.471842 154.779753) + (xy 161.554037 154.614021) + (xy 161.598683 154.434495) + (xy 161.6015 154.392954) + (xy 161.6015 153.695046) + (xy 161.598683 153.653505) + (xy 161.554037 153.473979) + (xy 161.471842 153.308247) + (xy 161.35594 153.16406) + (xy 161.355937 153.164057) + (xy 161.211757 153.048161) + (xy 161.21175 153.048156) + (xy 161.167619 153.026269) + (xy 161.132699 152.989625) + (xy 161.126499 152.959975) + (xy 161.1265 152.5245) + (xy 161.143813 152.476934) + (xy 161.18765 152.451624) + (xy 161.2005 152.4505) + (xy 163.906543 152.4505) + (xy 163.906563 152.450499) + (xy 164.771999 152.450499) + (xy 164.819565 152.467812) + (xy 164.844875 152.511649) + (xy 164.845999 152.524499) + (xy 164.846 152.6755) + (xy 164.828688 152.723066) + (xy 164.78485 152.748376) + (xy 164.772 152.7495) + (xy 162.884252 152.7495) + (xy 162.862001 152.753926) + (xy 162.825767 152.761133) + (xy 162.759449 152.805447) + (xy 162.759447 152.805449) + (xy 162.715133 152.871767) + (xy 162.7035 152.930253) + (xy 162.7035 153.769746) + (xy 162.715133 153.828232) + (xy 162.744608 153.872343) + (xy 162.759448 153.894552) + (xy 162.80356 153.924027) + (xy 162.825767 153.938866) + (xy 162.825768 153.938866) + (xy 162.825769 153.938867) + (xy 162.884252 153.9505) + (xy 162.884254 153.9505) + (xy 164.823746 153.9505) + (xy 164.823748 153.9505) + (xy 164.882231 153.938867) + (xy 164.948552 153.894552) + (xy 164.992867 153.828231) + (xy 165.0045 153.769748) + (xy 165.0045 153.49) + (xy 165.021813 153.442434) + (xy 165.06565 153.417124) + (xy 165.0785 153.416) + (xy 166.4545 153.416) + (xy 166.502066 153.433313) + (xy 166.527376 153.47715) + (xy 166.5285 153.49) + (xy 166.5285 153.902521) + (xy 166.511187 153.950087) + (xy 166.506826 153.954847) + (xy 166.148848 154.312826) + (xy 166.102972 154.334218) + (xy 166.096522 154.3345) + (xy 165.457734 154.3345) + (xy 165.427301 154.337354) + (xy 165.427299 154.337354) + (xy 165.427295 154.337355) + (xy 165.299118 154.382206) + (xy 165.299116 154.382207) + (xy 165.189853 154.462846) + (xy 165.189846 154.462853) + (xy 165.109207 154.572116) + (xy 165.109206 154.572118) + (xy 165.064355 154.700295) + (xy 165.064354 154.700299) + (xy 165.064354 154.700301) + (xy 165.0615 154.730734) + (xy 165.0615 155.389266) + (xy 165.064354 155.419699) + (xy 165.064354 155.419701) + (xy 165.064355 155.419704) + (xy 165.109206 155.547881) + (xy 165.109207 155.547883) + (xy 165.189846 155.657146) + (xy 165.189853 155.657153) + (xy 165.299116 155.737792) + (xy 165.299118 155.737793) + (xy 165.427295 155.782644) + (xy 165.427301 155.782646) + (xy 165.457734 155.7855) + (xy 165.457741 155.7855) + (xy 166.266258 155.7855) + (xy 166.266266 155.7855) + (xy 166.296699 155.782646) + (xy 166.385709 155.7515) + (xy 166.765001 155.7515) + (xy 166.765001 155.865881) + (xy 166.767844 155.902022) + (xy 166.812781 156.056695) + (xy 166.894772 156.195334) + (xy 167.008665 156.309227) + (xy 167.147304 156.391218) + (xy 167.301979 156.436155) + (xy 167.301976 156.436155) + (xy 167.338117 156.438999) + (xy 167.44 156.438999) + (xy 167.44 155.7515) + (xy 166.765001 155.7515) + (xy 166.385709 155.7515) + (xy 166.424882 155.737793) + (xy 166.521878 155.666207) + (xy 166.534146 155.657153) + (xy 166.534146 155.657152) + (xy 166.53415 155.65715) + (xy 166.614793 155.547882) + (xy 166.659646 155.419699) + (xy 166.659828 155.41775) + (xy 166.660278 155.4168) + (xy 166.660605 155.415307) + (xy 166.66095 155.415382) + (xy 166.681504 155.372009) + (xy 166.727511 155.3509) + (xy 166.734348 155.352777) + (xy 166.734348 155.3515) + (xy 167.44 155.3515) + (xy 167.44 155.351499) + (xy 167.439999 154.664) + (xy 167.338118 154.664) + (xy 167.301977 154.666844) + (xy 167.147304 154.711781) + (xy 167.008665 154.793772) + (xy 166.894772 154.907665) + (xy 166.812781 155.046304) + (xy 166.807562 155.06427) + (xy 166.777666 155.105118) + (xy 166.728508 155.117192) + (xy 166.68309 155.094844) + (xy 166.662663 155.048529) + (xy 166.6625 155.043625) + (xy 166.6625 154.750477) + (xy 166.679813 154.702911) + (xy 166.684174 154.698151) + (xy 166.84282 154.539505) + (xy 167.072983 154.309341) + (xy 167.075329 154.307191) + (xy 167.107194 154.280455) + (xy 167.12798 154.24445) + (xy 167.129712 154.241733) + (xy 167.153553 154.207685) + (xy 167.153554 154.207684) + (xy 167.154794 154.203054) + (xy 167.162193 154.185192) + (xy 167.164588 154.181045) + (xy 167.171807 154.1401) + (xy 167.172504 154.13696) + (xy 167.183264 154.096807) + (xy 167.182668 154.09) + (xy 167.179641 154.055396) + (xy 167.1795 154.05217) + (xy 167.1795 153.49) + (xy 167.196813 153.442434) + (xy 167.24065 153.417124) + (xy 167.2535 153.416) + (xy 168.402 153.416) + (xy 168.402 154.778747) + (xy 168.389438 154.806311) + (xy 168.34375 154.828103) + (xy 168.294744 154.815429) + (xy 168.284332 154.80677) + (xy 168.271334 154.793772) + (xy 168.132695 154.711781) + (xy 167.97802 154.666844) + (xy 167.978023 154.666844) + (xy 167.941882 154.664) + (xy 167.84 154.664) + (xy 167.84 156.438999) + (xy 167.941882 156.438999) + (xy 167.978022 156.436155) + (xy 168.132695 156.391218) + (xy 168.271334 156.309227) + (xy 168.385227 156.195334) + (xy 168.402 156.166973) + (xy 168.402 166.9995) + (xy 138.684 166.9995) + (xy 138.684 158.463326) + (xy 141.609391 161.388718) + (xy 144.523652 164.302979) + (xy 144.525824 164.305349) + (xy 144.552545 164.337194) + (xy 144.58855 164.357981) + (xy 144.59125 164.3597) + (xy 144.625316 164.383553) + (xy 144.629949 164.384794) + (xy 144.64779 164.392183) + (xy 144.651955 164.394588) + (xy 144.660717 164.396133) + (xy 144.692899 164.401808) + (xy 144.696049 164.402506) + (xy 144.736193 164.413263) + (xy 144.736193 164.413262) + (xy 144.736194 164.413263) + (xy 144.744006 164.412579) + (xy 144.777598 164.40964) + (xy 144.780817 164.4095) + (xy 150.696881 164.4095) + (xy 150.744447 164.426813) + (xy 150.756419 164.439554) + (xy 150.833289 164.543711) + (xy 150.911694 164.601576) + (xy 150.939526 164.622117) + (xy 150.96392 164.630653) + (xy 151.003099 164.662705) + (xy 151.012509 164.712441) + (xy 150.987748 164.75659) + (xy 150.940401 164.774494) + (xy 150.939479 164.7745) + (xy 142.913478 164.7745) + (xy 142.865912 164.757187) + (xy 142.861152 164.752826) + (xy 141.330575 163.222249) + (xy 141.309183 163.176373) + (xy 141.309533 163.160269) + (xy 141.321682 163.068) + (xy 141.301044 162.911238) + (xy 141.240536 162.765159) + (xy 141.144282 162.639718) + (xy 141.144279 162.639715) + (xy 141.018841 162.543464) + (xy 140.872761 162.482955) + (xy 140.736637 162.465034) + (xy 140.716 162.462318) + (xy 140.715999 162.462318) + (xy 140.559239 162.482955) + (xy 140.559238 162.482955) + (xy 140.413158 162.543464) + (xy 140.28772 162.639715) + (xy 140.287715 162.63972) + (xy 140.191464 162.765158) + (xy 140.130955 162.911238) + (xy 140.130955 162.911239) + (xy 140.110318 163.068) + (xy 140.130955 163.22476) + (xy 140.130955 163.224761) + (xy 140.191464 163.370841) + (xy 140.287715 163.496279) + (xy 140.28772 163.496284) + (xy 140.301944 163.507198) + (xy 140.413159 163.592536) + (xy 140.559238 163.653044) + (xy 140.716 163.673682) + (xy 140.808264 163.661534) + (xy 140.857682 163.67249) + (xy 140.870249 163.682575) + (xy 142.506647 165.318973) + (xy 142.508819 165.321343) + (xy 142.535545 165.353194) + (xy 142.571546 165.373979) + (xy 142.57427 165.375715) + (xy 142.608314 165.399553) + (xy 142.608316 165.399554) + (xy 142.612949 165.400795) + (xy 142.630795 165.408186) + (xy 142.634955 165.410588) + (xy 142.634958 165.410588) + (xy 142.634959 165.410589) + (xy 142.634958 165.410589) + (xy 142.675888 165.417806) + (xy 142.679038 165.418504) + (xy 142.719193 165.429264) + (xy 142.760605 165.42564) + (xy 142.763826 165.4255) + (xy 145.767525 165.4255) + (xy 156.956174 165.4255) + (xy 156.959394 165.42564) + (xy 156.985546 165.427928) + (xy 157.000805 165.429264) + (xy 157.000805 165.429263) + (xy 157.000807 165.429264) + (xy 157.040967 165.418502) + (xy 157.0441 165.417807) + (xy 157.085045 165.410588) + (xy 157.089194 165.408191) + (xy 157.107055 165.400794) + (xy 157.107059 165.400793) + (xy 157.111684 165.399554) + (xy 157.145732 165.375712) + (xy 157.14845 165.37398) + (xy 157.184455 165.353194) + (xy 157.211187 165.321334) + (xy 157.213352 165.318973) + (xy 157.730298 164.802029) + (xy 157.730299 164.802026) + (xy 157.734509 164.797817) + (xy 157.734515 164.79781) + (xy 160.619983 161.912341) + (xy 160.622329 161.910191) + (xy 160.654194 161.883455) + (xy 160.67498 161.84745) + (xy 160.676712 161.844733) + (xy 160.700553 161.810685) + (xy 160.700554 161.810684) + (xy 160.701794 161.806054) + (xy 160.709193 161.788192) + (xy 160.711588 161.784045) + (xy 160.718807 161.7431) + (xy 160.719504 161.73996) + (xy 160.730264 161.699807) + (xy 160.72664 161.658391) + (xy 160.7265 161.65517) + (xy 160.7265 161.5115) + (xy 164.483 161.5115) + (xy 164.483 161.714641) + (xy 164.4859 161.751493) + (xy 164.531718 161.909199) + (xy 164.615317 162.050556) + (xy 164.731443 162.166682) + (xy 164.8728 162.250281) + (xy 165.030508 162.296099) + (xy 165.030505 162.296099) + (xy 165.067359 162.299) + (xy 165.408 162.299) + (xy 165.408 161.5115) + (xy 165.808 161.5115) + (xy 165.808 162.299) + (xy 166.148641 162.299) + (xy 166.185493 162.296099) + (xy 166.343199 162.250281) + (xy 166.484556 162.166682) + (xy 166.600682 162.050556) + (xy 166.684281 161.909199) + (xy 166.730099 161.751493) + (xy 166.733 161.714641) + (xy 166.733 161.5115) + (xy 165.808 161.5115) + (xy 165.408 161.5115) + (xy 164.483 161.5115) + (xy 160.7265 161.5115) + (xy 160.7265 161.1115) + (xy 164.483 161.1115) + (xy 165.408 161.1115) + (xy 165.408 160.324) + (xy 165.808 160.324) + (xy 165.808 161.1115) + (xy 166.733 161.1115) + (xy 166.733 160.908358) + (xy 166.730099 160.871506) + (xy 166.684281 160.7138) + (xy 166.600682 160.572443) + (xy 166.484556 160.456317) + (xy 166.343199 160.372718) + (xy 166.185491 160.3269) + (xy 166.185494 160.3269) + (xy 166.148641 160.324) + (xy 165.808 160.324) + (xy 165.408 160.324) + (xy 165.067359 160.324) + (xy 165.030506 160.3269) + (xy 164.8728 160.372718) + (xy 164.731443 160.456317) + (xy 164.615317 160.572443) + (xy 164.531718 160.7138) + (xy 164.4859 160.871506) + (xy 164.483 160.908358) + (xy 164.483 161.1115) + (xy 160.7265 161.1115) + (xy 160.7265 160.628752) + (xy 160.743813 160.581186) + (xy 160.776056 160.558906) + (xy 160.845475 160.534616) + (xy 160.951711 160.456211) + (xy 161.030116 160.349975) + (xy 161.038191 160.3269) + (xy 161.073723 160.225354) + (xy 161.073722 160.225354) + (xy 161.073725 160.225349) + (xy 161.0765 160.195756) + (xy 161.0765 159.628266) + (xy 164.6825 159.628266) + (xy 164.685354 159.658699) + (xy 164.685354 159.658701) + (xy 164.685355 159.658704) + (xy 164.730206 159.786881) + (xy 164.730207 159.786883) + (xy 164.810846 159.896146) + (xy 164.810853 159.896153) + (xy 164.920116 159.976792) + (xy 164.920118 159.976793) + (xy 165.048295 160.021644) + (xy 165.048301 160.021646) + (xy 165.078734 160.0245) + (xy 165.078741 160.0245) + (xy 166.137258 160.0245) + (xy 166.137266 160.0245) + (xy 166.167699 160.021646) + (xy 166.295882 159.976793) + (xy 166.40515 159.89615) + (xy 166.485793 159.786882) + (xy 166.530646 159.658699) + (xy 166.5335 159.628266) + (xy 166.5335 158.844734) + (xy 166.530646 158.814301) + (xy 166.485793 158.686118) + (xy 166.485792 158.686116) + (xy 166.405153 158.576853) + (xy 166.405146 158.576846) + (xy 166.295883 158.496207) + (xy 166.295881 158.496206) + (xy 166.167704 158.451355) + (xy 166.167705 158.451355) + (xy 166.1677 158.451354) + (xy 166.167699 158.451354) + (xy 166.137266 158.4485) + (xy 166.13726 158.4485) + (xy 165.986 158.4485) + (xy 165.938434 158.431187) + (xy 165.913124 158.38735) + (xy 165.912 158.3745) + (xy 165.912 158.1595) + (xy 165.929313 158.111934) + (xy 165.97315 158.086624) + (xy 165.986 158.0855) + (xy 166.266258 158.0855) + (xy 166.266266 158.0855) + (xy 166.296699 158.082646) + (xy 166.424882 158.037793) + (xy 166.53415 157.95715) + (xy 166.614793 157.847882) + (xy 166.614794 157.84788) + (xy 166.648498 157.75156) + (xy 166.680549 157.71238) + (xy 166.718345 157.702) + (xy 166.912373 157.702) + (xy 166.959939 157.719313) + (xy 166.98222 157.751559) + (xy 167.010884 157.833475) + (xy 167.089289 157.939711) + (xy 167.153849 157.987358) + (xy 167.195526 158.018117) + (xy 167.320145 158.061723) + (xy 167.320151 158.061725) + (xy 167.349744 158.0645) + (xy 167.349751 158.0645) + (xy 167.930249 158.0645) + (xy 167.930256 158.0645) + (xy 167.959849 158.061725) + (xy 168.028245 158.037792) + (xy 168.084473 158.018117) + (xy 168.084473 158.018116) + (xy 168.084475 158.018116) + (xy 168.190711 157.939711) + (xy 168.269116 157.833475) + (xy 168.312725 157.708849) + (xy 168.3155 157.679256) + (xy 168.3155 157.073744) + (xy 168.312725 157.044151) + (xy 168.312723 157.044145) + (xy 168.269117 156.919526) + (xy 168.234127 156.872116) + (xy 168.190711 156.813289) + (xy 168.119467 156.760709) + (xy 168.084473 156.734882) + (xy 167.959854 156.691276) + (xy 167.959855 156.691276) + (xy 167.95985 156.691275) + (xy 167.959849 156.691275) + (xy 167.930256 156.6885) + (xy 167.349744 156.6885) + (xy 167.320151 156.691275) + (xy 167.320149 156.691275) + (xy 167.320145 156.691276) + (xy 167.195526 156.734882) + (xy 167.08929 156.813288) + (xy 167.089288 156.81329) + (xy 167.010883 156.919526) + (xy 166.98222 157.001441) + (xy 166.950169 157.04062) + (xy 166.912373 157.051) + (xy 166.729893 157.051) + (xy 166.682327 157.033687) + (xy 166.660046 157.001441) + (xy 166.614793 156.872118) + (xy 166.614792 156.872116) + (xy 166.534153 156.762853) + (xy 166.534146 156.762846) + (xy 166.424883 156.682207) + (xy 166.424881 156.682206) + (xy 166.296704 156.637355) + (xy 166.296705 156.637355) + (xy 166.2967 156.637354) + (xy 166.296699 156.637354) + (xy 166.266266 156.6345) + (xy 165.457734 156.6345) + (xy 165.427301 156.637354) + (xy 165.427299 156.637354) + (xy 165.427295 156.637355) + (xy 165.299118 156.682206) + (xy 165.299116 156.682207) + (xy 165.189853 156.762846) + (xy 165.189846 156.762853) + (xy 165.109207 156.872116) + (xy 165.109206 156.872118) + (xy 165.064355 157.000295) + (xy 165.064354 157.000299) + (xy 165.064354 157.000301) + (xy 165.0615 157.030734) + (xy 165.0615 157.689266) + (xy 165.064354 157.719699) + (xy 165.064354 157.719701) + (xy 165.064355 157.719704) + (xy 165.109206 157.847881) + (xy 165.109207 157.847883) + (xy 165.189846 157.957146) + (xy 165.189849 157.957149) + (xy 165.18985 157.95715) + (xy 165.230942 157.987477) + (xy 165.258933 158.029651) + (xy 165.261 158.047017) + (xy 165.261 158.3745) + (xy 165.243687 158.422066) + (xy 165.19985 158.447376) + (xy 165.187 158.4485) + (xy 165.078734 158.4485) + (xy 165.048301 158.451354) + (xy 165.048299 158.451354) + (xy 165.048295 158.451355) + (xy 164.920118 158.496206) + (xy 164.920116 158.496207) + (xy 164.810853 158.576846) + (xy 164.810846 158.576853) + (xy 164.730207 158.686116) + (xy 164.730206 158.686118) + (xy 164.685355 158.814295) + (xy 164.685354 158.814299) + (xy 164.685354 158.814301) + (xy 164.6825 158.844734) + (xy 164.6825 159.628266) + (xy 161.0765 159.628266) + (xy 161.0765 159.590244) + (xy 161.073725 159.560651) + (xy 161.034022 159.447187) + (xy 161.030117 159.436026) + (xy 160.959899 159.340884) + (xy 160.951711 159.329789) + (xy 160.901134 159.292462) + (xy 160.845473 159.251382) + (xy 160.720854 159.207776) + (xy 160.720855 159.207776) + (xy 160.72085 159.207775) + (xy 160.720849 159.207775) + (xy 160.691256 159.205) + (xy 160.110744 159.205) + (xy 160.081151 159.207775) + (xy 160.081149 159.207775) + (xy 160.081145 159.207776) + (xy 159.956526 159.251382) + (xy 159.85029 159.329788) + (xy 159.850288 159.32979) + (xy 159.771882 159.436026) + (xy 159.728276 159.560645) + (xy 159.728275 159.560649) + (xy 159.728275 159.560651) + (xy 159.7255 159.590244) + (xy 159.7255 160.195756) + (xy 159.728275 160.225349) + (xy 159.728275 160.225351) + (xy 159.728276 160.225354) + (xy 159.771882 160.349973) + (xy 159.788669 160.372718) + (xy 159.850289 160.456211) + (xy 159.956525 160.534616) + (xy 160.025942 160.558906) + (xy 160.065119 160.590955) + (xy 160.0755 160.628752) + (xy 160.0755 161.505521) + (xy 160.058187 161.553087) + (xy 160.053826 161.557847) + (xy 157.269971 164.341702) + (xy 156.858848 164.752826) + (xy 156.812972 164.774218) + (xy 156.806522 164.7745) + (xy 154.158502 164.7745) + (xy 154.110936 164.757187) + (xy 154.085626 164.71335) + (xy 154.094416 164.6635) + (xy 154.113788 164.641536) + (xy 154.220564 164.560564) + (xy 154.311922 164.440092) + (xy 154.367385 164.299445) + (xy 154.377167 164.218) + (xy 153.1145 164.218) + (xy 153.066934 164.200687) + (xy 153.041624 164.15685) + (xy 153.0405 164.144) + (xy 153.0405 163.818) + (xy 153.4405 163.818) + (xy 154.377167 163.818) + (xy 154.367385 163.736552) + (xy 154.335767 163.656375) + (xy 154.334422 163.605773) + (xy 154.365918 163.566146) + (xy 154.415517 163.556036) + (xy 154.426454 163.558525) + (xy 154.426598 163.558568) + (xy 154.426607 163.558573) + (xy 154.49474 163.5685) + (xy 154.494746 163.5685) + (xy 155.736254 163.5685) + (xy 155.73626 163.5685) + (xy 155.804393 163.558573) + (xy 155.909483 163.507198) + (xy 155.992198 163.424483) + (xy 156.043573 163.319393) + (xy 156.0535 163.25126) + (xy 156.0535 162.88474) + (xy 156.043573 162.816607) + (xy 155.992198 162.711517) + (xy 155.909483 162.628802) + (xy 155.888408 162.618499) + (xy 155.814999 162.582611) + (xy 155.77987 162.546166) + (xy 155.7735 162.51613) + (xy 155.7735 160.718252) + (xy 155.790813 160.670686) + (xy 155.823056 160.648406) + (xy 155.892475 160.624116) + (xy 155.998711 160.545711) + (xy 156.077116 160.439475) + (xy 156.120725 160.314849) + (xy 156.1235 160.285256) + (xy 156.1235 159.679744) + (xy 156.120725 159.650151) + (xy 156.113065 159.628259) + (xy 156.077117 159.525526) + (xy 156.0193 159.447187) + (xy 155.998711 159.419289) + (xy 155.938835 159.375099) + (xy 155.892473 159.340882) + (xy 155.767854 159.297276) + (xy 155.767855 159.297276) + (xy 155.76785 159.297275) + (xy 155.767849 159.297275) + (xy 155.738256 159.2945) + (xy 155.157744 159.2945) + (xy 155.128151 159.297275) + (xy 155.128149 159.297275) + (xy 155.128145 159.297276) + (xy 155.003526 159.340882) + (xy 154.89729 159.419288) + (xy 154.897288 159.41929) + (xy 154.818882 159.525526) + (xy 154.775276 159.650145) + (xy 154.775275 159.650149) + (xy 154.775275 159.650151) + (xy 154.7725 159.679744) + (xy 154.7725 160.285256) + (xy 154.775275 160.314849) + (xy 154.775275 160.314851) + (xy 154.775276 160.314854) + (xy 154.818882 160.439473) + (xy 154.859962 160.495134) + (xy 154.897289 160.545711) + (xy 155.003525 160.624116) + (xy 155.072942 160.648406) + (xy 155.112119 160.680455) + (xy 155.1225 160.718252) + (xy 155.1225 162.4935) + (xy 155.105187 162.541066) + (xy 155.06135 162.566376) + (xy 155.0485 162.5675) + (xy 154.494735 162.5675) + (xy 154.426606 162.577427) + (xy 154.426605 162.577427) + (xy 154.321517 162.628801) + (xy 154.238801 162.711517) + (xy 154.187427 162.816605) + (xy 154.187427 162.816606) + (xy 154.1775 162.884735) + (xy 154.1775 163.251265) + (xy 154.18434 163.298208) + (xy 154.174066 163.347774) + (xy 154.134335 163.379139) + (xy 154.083966 163.377718) + (xy 153.959444 163.328614) + (xy 153.871061 163.318) + (xy 153.4405 163.318) + (xy 153.4405 163.818) + (xy 153.0405 163.818) + (xy 153.0405 163.318) + (xy 152.609939 163.318) + (xy 152.521556 163.328614) + (xy 152.380907 163.384077) + (xy 152.260435 163.475435) + (xy 152.169071 163.595916) + (xy 152.168809 163.596383) + (xy 152.168547 163.596607) + (xy 152.166021 163.599939) + (xy 152.165301 163.599393) + (xy 152.130399 163.629351) + (xy 152.079783 163.629917) + (xy 152.040645 163.597815) + (xy 152.034467 163.584541) + (xy 152.013117 163.523527) + (xy 151.993011 163.496284) + (xy 151.934711 163.417289) + (xy 151.840521 163.347774) + (xy 151.828473 163.338882) + (xy 151.703854 163.295276) + (xy 151.703855 163.295276) + (xy 151.70385 163.295275) + (xy 151.703849 163.295275) + (xy 151.674256 163.2925) + (xy 151.093744 163.2925) + (xy 151.064151 163.295275) + (xy 151.064149 163.295275) + (xy 151.064145 163.295276) + (xy 150.939526 163.338882) + (xy 150.83329 163.417288) + (xy 150.833288 163.41729) + (xy 150.754882 163.523526) + (xy 150.711276 163.648145) + (xy 150.711275 163.648149) + (xy 150.711275 163.648151) + (xy 150.710817 163.653044) + (xy 150.7085 163.677749) + (xy 150.7085 163.6845) + (xy 150.691187 163.732066) + (xy 150.64735 163.757376) + (xy 150.6345 163.7585) + (xy 144.930479 163.7585) + (xy 144.882913 163.741187) + (xy 144.878153 163.736826) + (xy 143.668827 162.5275) + (xy 146.703 162.5275) + (xy 146.703 162.730641) + (xy 146.7059 162.767493) + (xy 146.751718 162.925199) + (xy 146.835317 163.066556) + (xy 146.951443 163.182682) + (xy 147.0928 163.266281) + (xy 147.250508 163.312099) + (xy 147.250505 163.312099) + (xy 147.287359 163.315) + (xy 147.628 163.315) + (xy 147.628 162.5275) + (xy 148.028 162.5275) + (xy 148.028 163.315) + (xy 148.368641 163.315) + (xy 148.405493 163.312099) + (xy 148.563199 163.266281) + (xy 148.704556 163.182682) + (xy 148.820682 163.066556) + (xy 148.904281 162.925199) + (xy 148.950099 162.767493) + (xy 148.953 162.730641) + (xy 148.953 162.5275) + (xy 148.028 162.5275) + (xy 147.628 162.5275) + (xy 146.703 162.5275) + (xy 143.668827 162.5275) + (xy 143.599583 162.458256) + (xy 150.7085 162.458256) + (xy 150.711275 162.487849) + (xy 150.711275 162.487851) + (xy 150.711276 162.487854) + (xy 150.754882 162.612473) + (xy 150.795962 162.668134) + (xy 150.833289 162.718711) + (xy 150.899387 162.767493) + (xy 150.939526 162.797117) + (xy 151.064145 162.840723) + (xy 151.064151 162.840725) + (xy 151.093744 162.8435) + (xy 151.093751 162.8435) + (xy 151.674249 162.8435) + (xy 151.674256 162.8435) + (xy 151.703849 162.840725) + (xy 151.772774 162.816607) + (xy 151.828473 162.797117) + (xy 151.828473 162.797116) + (xy 151.828475 162.797116) + (xy 151.934711 162.718711) + (xy 152.013116 162.612475) + (xy 152.013117 162.612473) + (xy 152.054903 162.493059) + (xy 152.086954 162.45388) + (xy 152.12475 162.4435) + (xy 152.303589 162.4435) + (xy 152.351155 162.460813) + (xy 152.36375 162.474431) + (xy 152.363802 162.474483) + (xy 152.446517 162.557198) + (xy 152.551607 162.608573) + (xy 152.61974 162.6185) + (xy 152.619746 162.6185) + (xy 153.861254 162.6185) + (xy 153.86126 162.6185) + (xy 153.929393 162.608573) + (xy 154.034483 162.557198) + (xy 154.117198 162.474483) + (xy 154.168573 162.369393) + (xy 154.1785 162.30126) + (xy 154.1785 161.93474) + (xy 154.168573 161.866607) + (xy 154.117198 161.761517) + (xy 154.034483 161.678802) + (xy 154.034482 161.678801) + (xy 153.929394 161.627427) + (xy 153.861264 161.6175) + (xy 153.86126 161.6175) + (xy 152.61974 161.6175) + (xy 152.619735 161.6175) + (xy 152.551606 161.627427) + (xy 152.551605 161.627427) + (xy 152.446517 161.678801) + (xy 152.359466 161.765853) + (xy 152.358655 161.765042) + (xy 152.322081 161.790152) + (xy 152.303589 161.7925) + (xy 152.098505 161.7925) + (xy 152.050939 161.775187) + (xy 152.028658 161.74294) + (xy 152.013117 161.698527) + (xy 152.013116 161.698525) + (xy 151.934711 161.592289) + (xy 151.858677 161.536174) + (xy 151.828473 161.513882) + (xy 151.703854 161.470276) + (xy 151.703855 161.470276) + (xy 151.70385 161.470275) + (xy 151.703849 161.470275) + (xy 151.674256 161.4675) + (xy 151.093744 161.4675) + (xy 151.064151 161.470275) + (xy 151.064149 161.470275) + (xy 151.064145 161.470276) + (xy 150.939526 161.513882) + (xy 150.83329 161.592288) + (xy 150.833288 161.59229) + (xy 150.754882 161.698526) + (xy 150.711276 161.823145) + (xy 150.711275 161.823149) + (xy 150.711275 161.823151) + (xy 150.7085 161.852744) + (xy 150.7085 162.458256) + (xy 143.599583 162.458256) + (xy 143.268827 162.1275) + (xy 146.703 162.1275) + (xy 147.628 162.1275) + (xy 147.628 161.34) + (xy 148.028 161.34) + (xy 148.028 162.1275) + (xy 148.953 162.1275) + (xy 148.953 161.924358) + (xy 148.950099 161.887506) + (xy 148.904281 161.7298) + (xy 148.820682 161.588443) + (xy 148.704556 161.472317) + (xy 148.563199 161.388718) + (xy 148.405491 161.3429) + (xy 148.405494 161.3429) + (xy 148.368641 161.34) + (xy 148.028 161.34) + (xy 147.628 161.34) + (xy 147.287359 161.34) + (xy 147.250506 161.3429) + (xy 147.0928 161.388718) + (xy 146.951443 161.472317) + (xy 146.835317 161.588443) + (xy 146.751718 161.7298) + (xy 146.7059 161.887506) + (xy 146.703 161.924358) + (xy 146.703 162.1275) + (xy 143.268827 162.1275) + (xy 139.533593 158.392266) + (xy 142.6505 158.392266) + (xy 142.653354 158.422699) + (xy 142.653354 158.422701) + (xy 142.653355 158.422704) + (xy 142.698206 158.550881) + (xy 142.698207 158.550883) + (xy 142.778846 158.660146) + (xy 142.778853 158.660153) + (xy 142.888116 158.740792) + (xy 142.888118 158.740793) + (xy 143.016295 158.785644) + (xy 143.016301 158.785646) + (xy 143.046734 158.7885) + (xy 143.046741 158.7885) + (xy 143.705258 158.7885) + (xy 143.705266 158.7885) + (xy 143.735699 158.785646) + (xy 143.863882 158.740793) + (xy 143.97315 158.66015) + (xy 144.053793 158.550882) + (xy 144.098646 158.422699) + (xy 144.1015 158.392266) + (xy 144.9505 158.392266) + (xy 144.953354 158.422699) + (xy 144.953354 158.422701) + (xy 144.953355 158.422704) + (xy 144.998206 158.550881) + (xy 144.998207 158.550883) + (xy 145.078846 158.660146) + (xy 145.078853 158.660153) + (xy 145.188116 158.740792) + (xy 145.188118 158.740793) + (xy 145.316295 158.785644) + (xy 145.316301 158.785646) + (xy 145.346734 158.7885) + (xy 145.346741 158.7885) + (xy 146.005258 158.7885) + (xy 146.005266 158.7885) + (xy 146.035699 158.785646) + (xy 146.163882 158.740793) + (xy 146.27315 158.66015) + (xy 146.353793 158.550882) + (xy 146.398646 158.422699) + (xy 146.4015 158.392266) + (xy 146.4015 158.3635) + (xy 146.418813 158.315934) + (xy 146.46265 158.290624) + (xy 146.4755 158.2895) + (xy 147.2195 158.2895) + (xy 147.267066 158.306813) + (xy 147.292376 158.35065) + (xy 147.2935 158.3635) + (xy 147.2935 158.933746) + (xy 147.305133 158.992232) + (xy 147.334608 159.036343) + (xy 147.349448 159.058552) + (xy 147.39356 159.088027) + (xy 147.415767 159.102866) + (xy 147.415769 159.102867) + (xy 147.442936 159.10827) + (xy 147.48621 159.134528) + (xy 147.5025 159.180848) + (xy 147.5025 159.3905) + (xy 147.485187 159.438066) + (xy 147.44135 159.463376) + (xy 147.4285 159.4645) + (xy 147.298734 159.4645) + (xy 147.268301 159.467354) + (xy 147.268299 159.467354) + (xy 147.268295 159.467355) + (xy 147.140118 159.512206) + (xy 147.140116 159.512207) + (xy 147.030853 159.592846) + (xy 147.030846 159.592853) + (xy 146.950207 159.702116) + (xy 146.950206 159.702118) + (xy 146.905355 159.830295) + (xy 146.905354 159.830299) + (xy 146.905354 159.830301) + (xy 146.9025 159.860734) + (xy 146.9025 160.644266) + (xy 146.905354 160.674699) + (xy 146.905354 160.674701) + (xy 146.905355 160.674704) + (xy 146.950206 160.802881) + (xy 146.950207 160.802883) + (xy 147.030846 160.912146) + (xy 147.030853 160.912153) + (xy 147.140116 160.992792) + (xy 147.140118 160.992793) + (xy 147.268295 161.037644) + (xy 147.268301 161.037646) + (xy 147.298734 161.0405) + (xy 147.298741 161.0405) + (xy 148.357258 161.0405) + (xy 148.357266 161.0405) + (xy 148.387699 161.037646) + (xy 148.515882 160.992793) + (xy 148.62515 160.91215) + (xy 148.705793 160.802882) + (xy 148.750646 160.674699) + (xy 148.7535 160.644266) + (xy 148.7535 159.860734) + (xy 148.750646 159.830301) + (xy 148.735453 159.786883) + (xy 148.705793 159.702118) + (xy 148.705792 159.702116) + (xy 148.625153 159.592853) + (xy 148.625146 159.592846) + (xy 148.515883 159.512207) + (xy 148.515881 159.512206) + (xy 148.387704 159.467355) + (xy 148.387705 159.467355) + (xy 148.3877 159.467354) + (xy 148.387699 159.467354) + (xy 148.357266 159.4645) + (xy 148.35726 159.4645) + (xy 148.2275 159.4645) + (xy 148.179934 159.447187) + (xy 148.154624 159.40335) + (xy 148.1535 159.3905) + (xy 148.1535 159.1885) + (xy 148.170813 159.140934) + (xy 148.21465 159.115624) + (xy 148.2275 159.1145) + (xy 148.313746 159.1145) + (xy 148.313748 159.1145) + (xy 148.372231 159.102867) + (xy 148.438552 159.058552) + (xy 148.482867 158.992231) + (xy 148.4945 158.933748) + (xy 148.4945 158.933746) + (xy 149.1935 158.933746) + (xy 149.205133 158.992232) + (xy 149.234608 159.036343) + (xy 149.249448 159.058552) + (xy 149.29356 159.088027) + (xy 149.315767 159.102866) + (xy 149.315768 159.102866) + (xy 149.315769 159.102867) + (xy 149.374252 159.1145) + (xy 149.374254 159.1145) + (xy 150.213746 159.1145) + (xy 150.213748 159.1145) + (xy 150.272231 159.102867) + (xy 150.338552 159.058552) + (xy 150.382867 158.992231) + (xy 150.3945 158.933748) + (xy 150.3945 156.994252) + (xy 150.382867 156.935769) + (xy 150.338552 156.869448) + (xy 150.316343 156.854608) + (xy 150.272232 156.825133) + (xy 150.272233 156.825133) + (xy 150.242989 156.819316) + (xy 150.213748 156.8135) + (xy 149.374252 156.8135) + (xy 149.34501 156.819316) + (xy 149.315767 156.825133) + (xy 149.249449 156.869447) + (xy 149.249447 156.869449) + (xy 149.205133 156.935767) + (xy 149.1935 156.994253) + (xy 149.1935 158.933746) + (xy 148.4945 158.933746) + (xy 148.4945 156.994252) + (xy 148.482867 156.935769) + (xy 148.438552 156.869448) + (xy 148.416343 156.854608) + (xy 148.372232 156.825133) + (xy 148.372233 156.825133) + (xy 148.342989 156.819316) + (xy 148.313748 156.8135) + (xy 147.474252 156.8135) + (xy 147.44501 156.819316) + (xy 147.415767 156.825133) + (xy 147.349449 156.869447) + (xy 147.349447 156.869449) + (xy 147.305133 156.935767) + (xy 147.2935 156.994253) + (xy 147.2935 157.5645) + (xy 147.276187 157.612066) + (xy 147.23235 157.637376) + (xy 147.2195 157.6385) + (xy 146.474021 157.6385) + (xy 146.426455 157.621187) + (xy 146.401145 157.57735) + (xy 146.400344 157.571411) + (xy 146.399696 157.5645) + (xy 146.398646 157.553301) + (xy 146.394927 157.542673) + (xy 146.353793 157.425118) + (xy 146.353792 157.425116) + (xy 146.273153 157.315853) + (xy 146.273146 157.315846) + (xy 146.163883 157.235207) + (xy 146.163881 157.235206) + (xy 146.035704 157.190355) + (xy 146.035705 157.190355) + (xy 146.0357 157.190354) + (xy 146.035699 157.190354) + (xy 146.005266 157.1875) + (xy 145.346734 157.1875) + (xy 145.316301 157.190354) + (xy 145.316299 157.190354) + (xy 145.316295 157.190355) + (xy 145.188118 157.235206) + (xy 145.188116 157.235207) + (xy 145.078853 157.315846) + (xy 145.078846 157.315853) + (xy 144.998207 157.425116) + (xy 144.998206 157.425118) + (xy 144.953355 157.553295) + (xy 144.953354 157.553299) + (xy 144.953354 157.553301) + (xy 144.9505 157.583734) + (xy 144.9505 158.392266) + (xy 144.1015 158.392266) + (xy 144.1015 157.783976) + (xy 144.118813 157.736411) + (xy 144.123163 157.731662) + (xy 144.947652 156.907174) + (xy 144.993529 156.885782) + (xy 144.999978 156.8855) + (xy 145.487249 156.8855) + (xy 145.487256 156.8855) + (xy 145.516849 156.882725) + (xy 145.573532 156.86289) + (xy 145.641473 156.839117) + (xy 145.641473 156.839116) + (xy 145.641475 156.839116) + (xy 145.747711 156.760711) + (xy 145.812248 156.673266) + (xy 154.6475 156.673266) + (xy 154.650354 156.703699) + (xy 154.650354 156.703701) + (xy 154.650355 156.703704) + (xy 154.695206 156.831881) + (xy 154.695207 156.831883) + (xy 154.775846 156.941146) + (xy 154.775853 156.941153) + (xy 154.885116 157.021792) + (xy 154.885118 157.021793) + (xy 155.013295 157.066644) + (xy 155.013301 157.066646) + (xy 155.043734 157.0695) + (xy 155.0485 157.0695) + (xy 155.096066 157.086813) + (xy 155.121376 157.13065) + (xy 155.1225 157.1435) + (xy 155.1225 157.421746) + (xy 155.105187 157.469312) + (xy 155.072941 157.491593) + (xy 155.003526 157.515882) + (xy 154.89729 157.594288) + (xy 154.897288 157.59429) + (xy 154.818882 157.700526) + (xy 154.775276 157.825145) + (xy 154.775275 157.825149) + (xy 154.775275 157.825151) + (xy 154.7725 157.854744) + (xy 154.7725 158.460256) + (xy 154.775275 158.489849) + (xy 154.775275 158.489851) + (xy 154.775276 158.489854) + (xy 154.818882 158.614473) + (xy 154.859962 158.670134) + (xy 154.897289 158.720711) + (xy 154.975694 158.778576) + (xy 155.003526 158.799117) + (xy 155.128145 158.842723) + (xy 155.128151 158.842725) + (xy 155.157744 158.8455) + (xy 155.157751 158.8455) + (xy 155.738249 158.8455) + (xy 155.738256 158.8455) + (xy 155.767849 158.842725) + (xy 155.824532 158.82289) + (xy 155.892473 158.799117) + (xy 155.892473 158.799116) + (xy 155.892475 158.799116) + (xy 155.998711 158.720711) + (xy 156.077116 158.614475) + (xy 156.085506 158.5905) + (xy 156.1185 158.496207) + (xy 156.120725 158.489849) + (xy 156.1235 158.460256) + (xy 156.1235 157.854744) + (xy 156.120725 157.825151) + (xy 156.109405 157.7928) + (xy 156.077117 157.700526) + (xy 156.018562 157.621187) + (xy 155.998711 157.594289) + (xy 155.918806 157.535317) + (xy 155.892473 157.515882) + (xy 155.823059 157.491593) + (xy 155.78388 157.459541) + (xy 155.7735 157.421746) + (xy 155.7735 157.1435) + (xy 155.790813 157.095934) + (xy 155.83465 157.070624) + (xy 155.8475 157.0695) + (xy 155.852258 157.0695) + (xy 155.852266 157.0695) + (xy 155.882699 157.066646) + (xy 156.010882 157.021793) + (xy 156.12015 156.94115) + (xy 156.200793 156.831882) + (xy 156.245646 156.703699) + (xy 156.2485 156.673266) + (xy 159.6005 156.673266) + (xy 159.603354 156.703699) + (xy 159.603354 156.703701) + (xy 159.603355 156.703704) + (xy 159.648206 156.831881) + (xy 159.648207 156.831883) + (xy 159.728846 156.941146) + (xy 159.728853 156.941153) + (xy 159.838116 157.021792) + (xy 159.838118 157.021793) + (xy 159.966295 157.066644) + (xy 159.966301 157.066646) + (xy 159.996734 157.0695) + (xy 160.0015 157.0695) + (xy 160.049066 157.086813) + (xy 160.074376 157.13065) + (xy 160.0755 157.1435) + (xy 160.0755 157.332246) + (xy 160.058187 157.379812) + (xy 160.025941 157.402093) + (xy 159.956526 157.426382) + (xy 159.85029 157.504788) + (xy 159.850288 157.50479) + (xy 159.771882 157.611026) + (xy 159.728276 157.735645) + (xy 159.728275 157.735649) + (xy 159.728275 157.735651) + (xy 159.7255 157.765244) + (xy 159.7255 158.370756) + (xy 159.728275 158.400349) + (xy 159.728275 158.400351) + (xy 159.728276 158.400354) + (xy 159.771882 158.524973) + (xy 159.791003 158.550881) + (xy 159.850289 158.631211) + (xy 159.924683 158.686116) + (xy 159.956526 158.709617) + (xy 160.081145 158.753223) + (xy 160.081151 158.753225) + (xy 160.110744 158.756) + (xy 160.110751 158.756) + (xy 160.691249 158.756) + (xy 160.691256 158.756) + (xy 160.720849 158.753225) + (xy 160.813768 158.720711) + (xy 160.845473 158.709617) + (xy 160.845473 158.709616) + (xy 160.845475 158.709616) + (xy 160.951711 158.631211) + (xy 160.981757 158.5905) + (xy 161.308 158.5905) + (xy 161.308 158.793641) + (xy 161.3109 158.830493) + (xy 161.356718 158.988199) + (xy 161.440317 159.129556) + (xy 161.556443 159.245682) + (xy 161.6978 159.329281) + (xy 161.855508 159.375099) + (xy 161.855505 159.375099) + (xy 161.892359 159.378) + (xy 162.233 159.378) + (xy 162.233 158.5905) + (xy 162.633 158.5905) + (xy 162.633 159.378) + (xy 162.973641 159.378) + (xy 163.010493 159.375099) + (xy 163.168199 159.329281) + (xy 163.309556 159.245682) + (xy 163.425682 159.129556) + (xy 163.509281 158.988199) + (xy 163.555099 158.830493) + (xy 163.558 158.793641) + (xy 163.558 158.5905) + (xy 162.633 158.5905) + (xy 162.233 158.5905) + (xy 161.308 158.5905) + (xy 160.981757 158.5905) + (xy 161.030116 158.524975) + (xy 161.040183 158.496207) + (xy 161.062934 158.431187) + (xy 161.073725 158.400349) + (xy 161.0765 158.370756) + (xy 161.0765 158.1905) + (xy 161.308 158.1905) + (xy 162.233 158.1905) + (xy 162.233 157.403) + (xy 162.633 157.403) + (xy 162.633 158.1905) + (xy 163.558 158.1905) + (xy 163.558 157.987358) + (xy 163.555099 157.950506) + (xy 163.509281 157.7928) + (xy 163.425682 157.651443) + (xy 163.309556 157.535317) + (xy 163.168199 157.451718) + (xy 163.010491 157.4059) + (xy 163.010494 157.4059) + (xy 162.973641 157.403) + (xy 162.633 157.403) + (xy 162.233 157.403) + (xy 161.892359 157.403) + (xy 161.855506 157.4059) + (xy 161.6978 157.451718) + (xy 161.556443 157.535317) + (xy 161.440317 157.651443) + (xy 161.356718 157.7928) + (xy 161.3109 157.950506) + (xy 161.308 157.987358) + (xy 161.308 158.1905) + (xy 161.0765 158.1905) + (xy 161.0765 157.765244) + (xy 161.073725 157.735651) + (xy 161.064348 157.708854) + (xy 161.030117 157.611026) + (xy 160.987514 157.553301) + (xy 160.951711 157.504789) + (xy 160.879802 157.451718) + (xy 160.845473 157.426382) + (xy 160.776059 157.402093) + (xy 160.73688 157.370041) + (xy 160.7265 157.332246) + (xy 160.7265 157.1435) + (xy 160.743813 157.095934) + (xy 160.78765 157.070624) + (xy 160.8005 157.0695) + (xy 160.805258 157.0695) + (xy 160.805266 157.0695) + (xy 160.835699 157.066646) + (xy 160.963882 157.021793) + (xy 161.07315 156.94115) + (xy 161.153793 156.831882) + (xy 161.198646 156.703699) + (xy 161.198646 156.703691) + (xy 161.199605 156.699307) + (xy 161.202051 156.699841) + (xy 161.22018 156.662085) + (xy 161.266296 156.641213) + (xy 161.271911 156.641) + (xy 161.433901 156.641) + (xy 161.481467 156.658313) + (xy 161.506777 156.70215) + (xy 161.507575 156.708075) + (xy 161.510354 156.737699) + (xy 161.510354 156.737701) + (xy 161.510355 156.737704) + (xy 161.555206 156.865881) + (xy 161.555207 156.865883) + (xy 161.635846 156.975146) + (xy 161.635853 156.975153) + (xy 161.745116 157.055792) + (xy 161.745118 157.055793) + (xy 161.873295 157.100644) + (xy 161.873301 157.100646) + (xy 161.903734 157.1035) + (xy 161.903741 157.1035) + (xy 162.962258 157.1035) + (xy 162.962266 157.1035) + (xy 162.992699 157.100646) + (xy 163.120882 157.055793) + (xy 163.23015 156.97515) + (xy 163.310793 156.865882) + (xy 163.355646 156.737699) + (xy 163.3585 156.707266) + (xy 163.3585 155.923734) + (xy 163.355646 155.893301) + (xy 163.352566 155.8845) + (xy 163.310793 155.765118) + (xy 163.310792 155.765116) + (xy 163.230153 155.655853) + (xy 163.230146 155.655846) + (xy 163.120883 155.575207) + (xy 163.120881 155.575206) + (xy 162.992704 155.530355) + (xy 162.992705 155.530355) + (xy 162.9927 155.530354) + (xy 162.992699 155.530354) + (xy 162.962266 155.5275) + (xy 161.903734 155.5275) + (xy 161.873301 155.530354) + (xy 161.873299 155.530354) + (xy 161.873295 155.530355) + (xy 161.745118 155.575206) + (xy 161.745116 155.575207) + (xy 161.635853 155.655846) + (xy 161.635846 155.655853) + (xy 161.555207 155.765116) + (xy 161.555206 155.765118) + (xy 161.510355 155.893295) + (xy 161.510354 155.893299) + (xy 161.510354 155.893301) + (xy 161.507577 155.92291) + (xy 161.485899 155.968651) + (xy 161.439891 155.989757) + (xy 161.433901 155.99) + (xy 161.253146 155.99) + (xy 161.20558 155.972687) + (xy 161.183299 155.94044) + (xy 161.153794 155.856119) + (xy 161.153792 155.856116) + (xy 161.073153 155.746853) + (xy 161.073146 155.746846) + (xy 160.963883 155.666207) + (xy 160.963881 155.666206) + (xy 160.835704 155.621355) + (xy 160.835705 155.621355) + (xy 160.8357 155.621354) + (xy 160.835699 155.621354) + (xy 160.805266 155.6185) + (xy 159.996734 155.6185) + (xy 159.966301 155.621354) + (xy 159.966299 155.621354) + (xy 159.966295 155.621355) + (xy 159.838118 155.666206) + (xy 159.838116 155.666207) + (xy 159.728853 155.746846) + (xy 159.728846 155.746853) + (xy 159.648207 155.856116) + (xy 159.648206 155.856118) + (xy 159.603355 155.984295) + (xy 159.603354 155.984299) + (xy 159.603354 155.984301) + (xy 159.6005 156.014734) + (xy 159.6005 156.673266) + (xy 156.2485 156.673266) + (xy 156.2485 156.014734) + (xy 156.245646 155.984301) + (xy 156.200793 155.856118) + (xy 156.185164 155.834941) + (xy 156.120153 155.746853) + (xy 156.120146 155.746846) + (xy 156.010883 155.666207) + (xy 156.010881 155.666206) + (xy 155.882704 155.621355) + (xy 155.882705 155.621355) + (xy 155.8827 155.621354) + (xy 155.882699 155.621354) + (xy 155.852266 155.6185) + (xy 155.043734 155.6185) + (xy 155.013301 155.621354) + (xy 155.013299 155.621354) + (xy 155.013295 155.621355) + (xy 154.885118 155.666206) + (xy 154.885116 155.666207) + (xy 154.775853 155.746846) + (xy 154.775846 155.746853) + (xy 154.695207 155.856116) + (xy 154.695206 155.856118) + (xy 154.650355 155.984295) + (xy 154.650354 155.984299) + (xy 154.650354 155.984301) + (xy 154.6475 156.014734) + (xy 154.6475 156.673266) + (xy 145.812248 156.673266) + (xy 145.826116 156.654475) + (xy 145.869725 156.529849) + (xy 145.8725 156.500256) + (xy 145.8725 155.919744) + (xy 145.869725 155.890151) + (xy 145.867748 155.8845) + (xy 145.826117 155.765526) + (xy 145.789556 155.715988) + (xy 145.747711 155.659289) + (xy 145.692443 155.6185) + (xy 145.641473 155.580882) + (xy 145.516854 155.537276) + (xy 145.516855 155.537276) + (xy 145.51685 155.537275) + (xy 145.516849 155.537275) + (xy 145.487256 155.5345) + (xy 144.881744 155.5345) + (xy 144.852151 155.537275) + (xy 144.852149 155.537275) + (xy 144.852145 155.537276) + (xy 144.727526 155.580882) + (xy 144.62129 155.659288) + (xy 144.621288 155.65929) + (xy 144.542882 155.765526) + (xy 144.499276 155.890145) + (xy 144.499275 155.890149) + (xy 144.499275 155.890151) + (xy 144.498981 155.893295) + (xy 144.4965 155.919749) + (xy 144.4965 156.407021) + (xy 144.479187 156.454587) + (xy 144.474826 156.459347) + (xy 143.767721 157.166451) + (xy 143.721844 157.187843) + (xy 143.708492 157.187802) + (xy 143.705276 157.1875) + (xy 143.705266 157.1875) + (xy 143.046734 157.1875) + (xy 143.016301 157.190354) + (xy 143.016299 157.190354) + (xy 143.016295 157.190355) + (xy 142.888118 157.235206) + (xy 142.888116 157.235207) + (xy 142.778853 157.315846) + (xy 142.778846 157.315853) + (xy 142.698207 157.425116) + (xy 142.698206 157.425118) + (xy 142.653355 157.553295) + (xy 142.653354 157.553299) + (xy 142.653354 157.553301) + (xy 142.6505 157.583734) + (xy 142.6505 158.392266) + (xy 139.533593 158.392266) + (xy 138.684 157.542673) + (xy 138.684 156.416327) + (xy 138.696652 156.42898) + (xy 138.698834 156.431361) + (xy 138.725543 156.463193) + (xy 138.761548 156.48398) + (xy 138.764272 156.485716) + (xy 138.798314 156.509553) + (xy 138.798315 156.509553) + (xy 138.798316 156.509554) + (xy 138.802945 156.510794) + (xy 138.8208 156.518189) + (xy 138.824955 156.520588) + (xy 138.865915 156.527809) + (xy 138.869028 156.5285) + (xy 138.909193 156.539263) + (xy 138.950598 156.53564) + (xy 138.953817 156.5355) + (xy 142.623747 156.5355) + (xy 142.671313 156.552813) + (xy 142.693593 156.585058) + (xy 142.717884 156.654475) + (xy 142.796289 156.760711) + (xy 142.867529 156.813288) + (xy 142.902526 156.839117) + (xy 143.027145 156.882723) + (xy 143.027151 156.882725) + (xy 143.056744 156.8855) + (xy 143.056751 156.8855) + (xy 143.662249 156.8855) + (xy 143.662256 156.8855) + (xy 143.691849 156.882725) + (xy 143.748532 156.86289) + (xy 143.816473 156.839117) + (xy 143.816473 156.839116) + (xy 143.816475 156.839116) + (xy 143.922711 156.760711) + (xy 144.001116 156.654475) + (xy 144.044725 156.529849) + (xy 144.0475 156.500256) + (xy 144.0475 155.919744) + (xy 144.044725 155.890151) + (xy 144.042748 155.8845) + (xy 144.001117 155.765526) + (xy 143.964556 155.715988) + (xy 143.922711 155.659289) + (xy 143.867443 155.6185) + (xy 143.816473 155.580882) + (xy 143.691854 155.537276) + (xy 143.691855 155.537276) + (xy 143.69185 155.537275) + (xy 143.691849 155.537275) + (xy 143.662256 155.5345) + (xy 143.056744 155.5345) + (xy 143.027151 155.537275) + (xy 143.027149 155.537275) + (xy 143.027145 155.537276) + (xy 142.902526 155.580882) + (xy 142.79629 155.659288) + (xy 142.796288 155.65929) + (xy 142.717883 155.765526) + (xy 142.693594 155.834941) + (xy 142.661543 155.87412) + (xy 142.623747 155.8845) + (xy 139.103479 155.8845) + (xy 139.055913 155.867187) + (xy 139.051153 155.862826) + (xy 138.684 155.495673) + (xy 138.684 149.750921) + ) + ) + (filled_polygon + (layer "F.Cu") + (island) + (pts + (xy 152.146185 164.393256) + (xy 152.158937 164.414379) + (xy 152.169076 164.44009) + (xy 152.260435 164.560564) + (xy 152.367212 164.641536) + (xy 152.394652 164.684073) + (xy 152.38833 164.734295) + (xy 152.351205 164.768705) + (xy 152.322498 164.7745) + (xy 151.828521 164.7745) + (xy 151.780955 164.757187) + (xy 151.755645 164.71335) + (xy 151.764435 164.6635) + (xy 151.803212 164.630963) + (xy 151.80408 164.630653) + (xy 151.828473 164.622117) + (xy 151.828473 164.622116) + (xy 151.828475 164.622116) + (xy 151.934711 164.543711) + (xy 152.013116 164.437475) + (xy 152.02025 164.417086) + (xy 152.052299 164.377909) + (xy 152.102035 164.368496) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 143.670826 95.967152) + (xy 143.692218 96.013028) + (xy 143.6925 96.019478) + (xy 143.6925 106.898357) + (xy 143.675187 106.945923) + (xy 143.663549 106.957065) + (xy 143.589715 107.01372) + (xy 143.589713 107.013722) + (xy 143.493464 107.139158) + (xy 143.432955 107.285238) + (xy 143.432955 107.285239) + (xy 143.412318 107.442) + (xy 143.432955 107.59876) + (xy 143.432955 107.598761) + (xy 143.493464 107.744841) + (xy 143.589715 107.870279) + (xy 143.58972 107.870284) + (xy 143.673345 107.934451) + (xy 143.715159 107.966536) + (xy 143.861238 108.027044) + (xy 144.018 108.047682) + (xy 144.174762 108.027044) + (xy 144.320841 107.966536) + (xy 144.446282 107.870282) + (xy 144.542536 107.744841) + (xy 144.603044 107.598762) + (xy 144.623682 107.442) + (xy 144.603044 107.285238) + (xy 144.542536 107.139159) + (xy 144.499552 107.083141) + (xy 144.446286 107.013722) + (xy 144.446284 107.01372) + (xy 144.446282 107.013719) + (xy 144.446282 107.013718) + (xy 144.372451 106.957065) + (xy 144.345254 106.914373) + (xy 144.3435 106.898357) + (xy 144.3435 102.054) + (xy 144.949 102.054) + (xy 144.949 103.019641) + (xy 144.9519 103.056493) + (xy 144.997718 103.214199) + (xy 145.081317 103.355556) + (xy 145.197443 103.471682) + (xy 145.3388 103.555281) + (xy 145.496508 103.601099) + (xy 145.496505 103.601099) + (xy 145.533359 103.604) + (xy 145.8115 103.604) + (xy 145.8115 102.054) + (xy 146.2115 102.054) + (xy 146.2115 103.604) + (xy 146.489641 103.604) + (xy 146.526493 103.601099) + (xy 146.684199 103.555281) + (xy 146.825556 103.471682) + (xy 146.941682 103.355556) + (xy 147.025281 103.214199) + (xy 147.071099 103.056493) + (xy 147.074 103.019641) + (xy 147.074 103.008266) + (xy 148.2735 103.008266) + (xy 148.276354 103.038699) + (xy 148.276354 103.038701) + (xy 148.276355 103.038704) + (xy 148.321205 103.166879) + (xy 148.321206 103.166881) + (xy 148.321207 103.166882) + (xy 148.321536 103.167328) + (xy 148.321691 103.167798) + (xy 148.323799 103.171787) + (xy 148.323121 103.172144) + (xy 148.336 103.211276) + (xy 148.336 105.035696) + (xy 148.345602 105.077769) + (xy 148.346297 105.08186) + (xy 148.351131 105.124753) + (xy 148.351132 105.124755) + (xy 148.365387 105.165496) + (xy 148.366536 105.169485) + (xy 148.376137 105.211552) + (xy 148.37614 105.211562) + (xy 148.394867 105.250449) + (xy 148.396456 105.254284) + (xy 148.410709 105.29502) + (xy 148.41071 105.295022) + (xy 148.433675 105.33157) + (xy 148.435683 105.335204) + (xy 148.454409 105.374087) + (xy 148.45441 105.374088) + (xy 148.481314 105.407826) + (xy 148.483716 105.411212) + (xy 148.506682 105.447759) + (xy 148.506684 105.447762) + (xy 148.535052 105.47613) + (xy 148.556369 105.521201) + (xy 148.5657 105.615934) + (xy 148.625768 105.813954) + (xy 148.723315 105.99645) + (xy 148.85459 106.15641) + (xy 149.01455 106.287685) + (xy 149.197046 106.385232) + (xy 149.395066 106.4453) + (xy 149.601 106.465583) + (xy 149.806934 106.4453) + (xy 150.004954 106.385232) + (xy 150.18745 106.287685) + (xy 150.34741 106.15641) + (xy 150.478685 105.99645) + (xy 150.576232 105.813954) + (xy 150.6363 105.615934) + (xy 150.656583 105.41) + (xy 150.6363 105.204066) + (xy 150.576232 105.006046) + (xy 150.478685 104.82355) + (xy 150.34741 104.66359) + (xy 150.33298 104.651748) + (xy 150.18745 104.532315) + (xy 150.004961 104.434771) + (xy 150.004956 104.434769) + (xy 150.004954 104.434768) + (xy 149.989517 104.430085) + (xy 149.949025 104.399709) + (xy 149.937 104.359272) + (xy 149.937 103.211276) + (xy 149.949878 103.172144) + (xy 149.949201 103.171787) + (xy 149.951308 103.167798) + (xy 149.951463 103.167328) + (xy 149.951793 103.166882) + (xy 149.996646 103.038699) + (xy 149.9995 103.008266) + (xy 149.9995 102.146653) + (xy 150.010842 102.107284) + (xy 150.02628 102.082713) + (xy 150.028678 102.079333) + (xy 150.03712 102.068748) + (xy 154.7595 102.068748) + (xy 154.762278 102.082713) + (xy 154.771133 102.127232) + (xy 154.787558 102.151813) + (xy 154.815448 102.193552) + (xy 154.85956 102.223027) + (xy 154.881767 102.237866) + (xy 154.881768 102.237866) + (xy 154.881769 102.237867) + (xy 154.940252 102.2495) + (xy 154.940254 102.2495) + (xy 160.479746 102.2495) + (xy 160.479748 102.2495) + (xy 160.538231 102.237867) + (xy 160.604552 102.193552) + (xy 160.622035 102.167386) + (xy 160.662857 102.137456) + (xy 160.683563 102.1345) + (xy 163.99577 102.1345) + (xy 164.043336 102.151813) + (xy 164.048096 102.156174) + (xy 165.433826 103.541903) + (xy 165.455218 103.587779) + (xy 165.4555 103.594229) + (xy 165.4555 104.651748) + (xy 165.457856 104.66359) + (xy 165.467133 104.710232) + (xy 165.496608 104.754343) + (xy 165.511448 104.776552) + (xy 165.55556 104.806027) + (xy 165.577767 104.820866) + (xy 165.577768 104.820866) + (xy 165.577769 104.820867) + (xy 165.636252 104.8325) + (xy 165.636254 104.8325) + (xy 167.675746 104.8325) + (xy 167.675748 104.8325) + (xy 167.734231 104.820867) + (xy 167.800552 104.776552) + (xy 167.844867 104.710231) + (xy 167.8565 104.651748) + (xy 167.8565 102.612252) + (xy 167.844867 102.553769) + (xy 167.800552 102.487448) + (xy 167.778343 102.472608) + (xy 167.734232 102.443133) + (xy 167.734233 102.443133) + (xy 167.704989 102.437316) + (xy 167.675748 102.4315) + (xy 167.675746 102.4315) + (xy 166.61823 102.4315) + (xy 166.570664 102.414187) + (xy 166.565904 102.409826) + (xy 164.90266 100.746582) + (xy 164.902658 100.746578) + (xy 164.860264 100.704185) + (xy 164.823712 100.681216) + (xy 164.820326 100.678814) + (xy 164.786588 100.65191) + (xy 164.786587 100.651909) + (xy 164.765921 100.641956) + (xy 164.747704 100.633183) + (xy 164.74407 100.631175) + (xy 164.707522 100.60821) + (xy 164.70752 100.608209) + (xy 164.677046 100.597546) + (xy 164.666777 100.593953) + (xy 164.662949 100.592367) + (xy 164.624062 100.57364) + (xy 164.624052 100.573637) + (xy 164.588709 100.56557) + (xy 164.581981 100.564035) + (xy 164.577996 100.562887) + (xy 164.537255 100.548632) + (xy 164.537253 100.548631) + (xy 164.49436 100.543797) + (xy 164.490269 100.543102) + (xy 164.448196 100.5335) + (xy 164.448194 100.5335) + (xy 164.402954 100.5335) + (xy 160.683563 100.5335) + (xy 160.635997 100.516187) + (xy 160.622035 100.500613) + (xy 160.620129 100.49776) + (xy 160.604552 100.474448) + (xy 160.582343 100.459608) + (xy 160.538232 100.430133) + (xy 160.538233 100.430133) + (xy 160.508989 100.424316) + (xy 160.479748 100.4185) + (xy 154.940252 100.4185) + (xy 154.91101 100.424316) + (xy 154.881767 100.430133) + (xy 154.815449 100.474447) + (xy 154.815447 100.474449) + (xy 154.771133 100.540767) + (xy 154.763377 100.579757) + (xy 154.7595 100.599252) + (xy 154.7595 102.068748) + (xy 150.03712 102.068748) + (xy 150.055592 102.045586) + (xy 150.074329 102.006675) + (xy 150.076317 102.003079) + (xy 150.099289 101.966522) + (xy 150.113547 101.925772) + (xy 150.115127 101.921958) + (xy 150.13386 101.883061) + (xy 150.143469 101.840959) + (xy 150.144606 101.837011) + (xy 150.158868 101.796255) + (xy 150.1637 101.753367) + (xy 150.164395 101.749274) + (xy 150.174 101.707194) + (xy 150.174 101.526805) + (xy 150.174 101.526804) + (xy 150.174 99.015046) + (xy 150.174 99.015045) + (xy 150.174 98.168499) + (xy 150.191313 98.120934) + (xy 150.23515 98.095624) + (xy 150.248 98.0945) + (xy 152.236437 98.0945) + (xy 152.284003 98.111813) + (xy 152.297964 98.127386) + (xy 152.315448 98.153552) + (xy 152.337819 98.1685) + (xy 152.381767 98.197866) + (xy 152.381768 98.197866) + (xy 152.381769 98.197867) + (xy 152.440252 98.2095) + (xy 152.440254 98.2095) + (xy 157.979746 98.2095) + (xy 157.979748 98.2095) + (xy 158.038231 98.197867) + (xy 158.104552 98.153552) + (xy 158.148867 98.087231) + (xy 158.1605 98.028748) + (xy 158.1605 96.559252) + (xy 158.148867 96.500769) + (xy 158.147511 96.49874) + (xy 158.122035 96.460613) + (xy 158.104552 96.434448) + (xy 158.082343 96.419608) + (xy 158.038232 96.390133) + (xy 158.038233 96.390133) + (xy 158.006951 96.383911) + (xy 157.979748 96.3785) + (xy 152.440252 96.3785) + (xy 152.413049 96.383911) + (xy 152.381767 96.390133) + (xy 152.315449 96.434447) + (xy 152.315447 96.434449) + (xy 152.297965 96.460613) + (xy 152.257143 96.490544) + (xy 152.236437 96.4935) + (xy 150.21997 96.4935) + (xy 150.172404 96.476187) + (xy 150.150123 96.443941) + (xy 150.113793 96.340118) + (xy 150.113792 96.340116) + (xy 150.033153 96.230853) + (xy 150.033146 96.230846) + (xy 149.923883 96.150207) + (xy 149.923881 96.150206) + (xy 149.795704 96.105355) + (xy 149.795705 96.105355) + (xy 149.7957 96.105354) + (xy 149.795699 96.105354) + (xy 149.765266 96.1025) + (xy 148.981734 96.1025) + (xy 148.951301 96.105354) + (xy 148.951299 96.105354) + (xy 148.951295 96.105355) + (xy 148.823118 96.150206) + (xy 148.823116 96.150207) + (xy 148.713853 96.230846) + (xy 148.713846 96.230853) + (xy 148.633207 96.340116) + (xy 148.633206 96.340118) + (xy 148.588355 96.468295) + (xy 148.588354 96.468299) + (xy 148.588354 96.468301) + (xy 148.5855 96.498734) + (xy 148.5855 96.49874) + (xy 148.5855 96.870028) + (xy 148.585267 96.874165) + (xy 148.583299 96.891632) + (xy 148.582604 96.895721) + (xy 148.573 96.937802) + (xy 148.573 100.277047) + (xy 148.555687 100.324613) + (xy 148.523443 100.346894) + (xy 148.511116 100.351207) + (xy 148.401853 100.431846) + (xy 148.401846 100.431853) + (xy 148.321207 100.541116) + (xy 148.321206 100.541118) + (xy 148.276355 100.669295) + (xy 148.276354 100.669299) + (xy 148.276354 100.669301) + (xy 148.2735 100.699734) + (xy 148.2735 103.008266) + (xy 147.074 103.008266) + (xy 147.074 102.054) + (xy 146.2115 102.054) + (xy 145.8115 102.054) + (xy 144.949 102.054) + (xy 144.3435 102.054) + (xy 144.3435 101.654) + (xy 144.949 101.654) + (xy 145.8115 101.654) + (xy 146.2115 101.654) + (xy 147.074 101.654) + (xy 147.074 100.688358) + (xy 147.071099 100.651506) + (xy 147.025281 100.4938) + (xy 146.941682 100.352443) + (xy 146.900565 100.311326) + (xy 146.879173 100.26545) + (xy 146.892274 100.216555) + (xy 146.933738 100.187521) + (xy 146.952891 100.185) + (xy 147.0985 100.185) + (xy 147.0985 99.26) + (xy 147.4985 99.26) + (xy 147.4985 100.185) + (xy 147.701641 100.185) + (xy 147.738493 100.182099) + (xy 147.896199 100.136281) + (xy 148.037556 100.052682) + (xy 148.153682 99.936556) + (xy 148.237281 99.795199) + (xy 148.283099 99.637493) + (xy 148.286 99.600641) + (xy 148.286 99.26) + (xy 147.4985 99.26) + (xy 147.0985 99.26) + (xy 146.311 99.26) + (xy 146.311 99.600641) + (xy 146.3139 99.637493) + (xy 146.359718 99.795199) + (xy 146.443317 99.936556) + (xy 146.484435 99.977674) + (xy 146.505827 100.02355) + (xy 146.492726 100.072445) + (xy 146.451262 100.101479) + (xy 146.432109 100.104) + (xy 146.2115 100.104) + (xy 146.2115 101.654) + (xy 145.8115 101.654) + (xy 145.8115 100.104) + (xy 145.533359 100.104) + (xy 145.496506 100.1069) + (xy 145.3388 100.152718) + (xy 145.197443 100.236317) + (xy 145.081317 100.352443) + (xy 144.997718 100.4938) + (xy 144.9519 100.651506) + (xy 144.949 100.688358) + (xy 144.949 101.654) + (xy 144.3435 101.654) + (xy 144.3435 97.228) + (xy 146.311 97.228) + (xy 146.311 97.568641) + (xy 146.3139 97.605493) + (xy 146.359718 97.763199) + (xy 146.443317 97.904556) + (xy 146.530435 97.991674) + (xy 146.551827 98.03755) + (xy 146.538726 98.086445) + (xy 146.530435 98.096326) + (xy 146.443317 98.183443) + (xy 146.359718 98.3248) + (xy 146.3139 98.482506) + (xy 146.311 98.519358) + (xy 146.311 98.86) + (xy 147.0985 98.86) + (xy 147.0985 97.228) + (xy 147.4985 97.228) + (xy 147.4985 98.86) + (xy 148.286 98.86) + (xy 148.286 98.519358) + (xy 148.283099 98.482506) + (xy 148.237281 98.3248) + (xy 148.153682 98.183443) + (xy 148.066565 98.096326) + (xy 148.045173 98.05045) + (xy 148.058274 98.001555) + (xy 148.066565 97.991674) + (xy 148.153682 97.904556) + (xy 148.237281 97.763199) + (xy 148.283099 97.605493) + (xy 148.286 97.568641) + (xy 148.286 97.228) + (xy 147.4985 97.228) + (xy 147.0985 97.228) + (xy 146.311 97.228) + (xy 144.3435 97.228) + (xy 144.3435 96.828) + (xy 146.311 96.828) + (xy 147.0985 96.828) + (xy 147.0985 95.903) + (xy 147.4985 95.903) + (xy 147.4985 96.828) + (xy 148.286 96.828) + (xy 148.286 96.487358) + (xy 148.283099 96.450506) + (xy 148.237281 96.2928) + (xy 148.153682 96.151443) + (xy 148.037556 96.035317) + (xy 147.896199 95.951718) + (xy 147.738491 95.9059) + (xy 147.738494 95.9059) + (xy 147.701641 95.903) + (xy 147.4985 95.903) + (xy 147.0985 95.903) + (xy 146.895359 95.903) + (xy 146.858506 95.9059) + (xy 146.7008 95.951718) + (xy 146.559443 96.035317) + (xy 146.443317 96.151443) + (xy 146.359718 96.2928) + (xy 146.3139 96.450506) + (xy 146.311 96.487358) + (xy 146.311 96.828) + (xy 144.3435 96.828) + (xy 144.3435 95.869817) + (xy 144.343641 95.86659) + (xy 144.347263 95.825194) + (xy 144.347263 95.825193) + (xy 144.342345 95.806841) + (xy 144.3365 95.785028) + (xy 144.335809 95.781915) + (xy 144.328588 95.740955) + (xy 144.326189 95.7368) + (xy 144.318794 95.718945) + (xy 144.317554 95.714317) + (xy 144.317553 95.714314) + (xy 144.293716 95.680272) + (xy 144.29198 95.677548) + (xy 144.282288 95.660761) + (xy 144.271194 95.641545) + (xy 144.271193 95.641544) + (xy 144.239353 95.614826) + (xy 144.236972 95.612645) + (xy 143.874327 95.25) + (xy 168.402 95.25) + (xy 168.402 149.787369) + (xy 168.396284 149.86) + (xy 168.402 149.93263) + (xy 168.402 151.384) + (xy 165.478499 151.384) + (xy 165.430933 151.366687) + (xy 165.405623 151.32285) + (xy 165.404499 151.31) + (xy 165.404499 151.01064) + (xy 165.389044 150.89324) + (xy 165.389043 150.893236) + (xy 165.328536 150.747159) + (xy 165.232284 150.62172) + (xy 165.232279 150.621715) + (xy 165.106841 150.525464) + (xy 164.960761 150.464955) + (xy 164.870675 150.453095) + (xy 164.843361 150.4495) + (xy 164.843359 150.4495) + (xy 161.170835 150.4495) + (xy 161.130981 150.437851) + (xy 161.128484 150.436255) + (xy 161.128482 150.436254) + (xy 161.128481 150.436253) + (xy 160.965185 150.355266) + (xy 160.788297 150.311276) + (xy 160.788295 150.311275) + (xy 160.788292 150.311275) + (xy 160.747365 150.3085) + (xy 160.101649 150.3085) + (xy 160.060703 150.311276) + (xy 159.883814 150.355266) + (xy 159.720519 150.436253) + (xy 159.578449 150.550452) + (xy 159.569675 150.561368) + (xy 159.52638 150.587593) + (xy 159.476356 150.579854) + (xy 159.454325 150.561368) + (xy 159.44555 150.550452) + (xy 159.445549 150.550451) + (xy 159.303481 150.436253) + (xy 159.140185 150.355266) + (xy 158.963297 150.311276) + (xy 158.963295 150.311275) + (xy 158.963292 150.311275) + (xy 158.922365 150.3085) + (xy 158.276649 150.3085) + (xy 158.235718 150.311275) + (xy 158.235703 150.311276) + (xy 158.058815 150.355266) + (xy 158.058813 150.355266) + (xy 158.058812 150.355267) + (xy 158.017421 150.375795) + (xy 157.984543 150.3835) + (xy 146.053457 150.3835) + (xy 146.020579 150.375795) + (xy 145.979187 150.355267) + (xy 145.979185 150.355266) + (xy 145.802297 150.311276) + (xy 145.802295 150.311275) + (xy 145.802292 150.311275) + (xy 145.761365 150.3085) + (xy 145.115649 150.3085) + (xy 145.074703 150.311276) + (xy 144.897814 150.355266) + (xy 144.734519 150.436253) + (xy 144.592449 150.550452) + (xy 144.583675 150.561368) + (xy 144.54038 150.587593) + (xy 144.490356 150.579854) + (xy 144.468325 150.561368) + (xy 144.45955 150.550452) + (xy 144.459549 150.550451) + (xy 144.317481 150.436253) + (xy 144.154185 150.355266) + (xy 143.977297 150.311276) + (xy 143.977295 150.311275) + (xy 143.977292 150.311275) + (xy 143.936365 150.3085) + (xy 143.290649 150.3085) + (xy 143.249718 150.311275) + (xy 143.249703 150.311276) + (xy 143.072815 150.355266) + (xy 143.072813 150.355266) + (xy 143.072812 150.355267) + (xy 143.031421 150.375795) + (xy 142.998543 150.3835) + (xy 142.177073 150.3835) + (xy 142.129507 150.366187) + (xy 142.124747 150.361826) + (xy 138.684 146.921079) + (xy 138.684 145.5095) + (xy 166.007 145.5095) + (xy 166.007 145.712641) + (xy 166.0099 145.749493) + (xy 166.055718 145.907199) + (xy 166.139317 146.048556) + (xy 166.255443 146.164682) + (xy 166.3968 146.248281) + (xy 166.554508 146.294099) + (xy 166.554505 146.294099) + (xy 166.591359 146.297) + (xy 166.932 146.297) + (xy 166.932 145.5095) + (xy 167.332 145.5095) + (xy 167.332 146.297) + (xy 167.672641 146.297) + (xy 167.709493 146.294099) + (xy 167.867199 146.248281) + (xy 168.008556 146.164682) + (xy 168.124682 146.048556) + (xy 168.208281 145.907199) + (xy 168.254099 145.749493) + (xy 168.257 145.712641) + (xy 168.257 145.5095) + (xy 167.332 145.5095) + (xy 166.932 145.5095) + (xy 166.007 145.5095) + (xy 138.684 145.5095) + (xy 138.684 145.1095) + (xy 166.007 145.1095) + (xy 166.932 145.1095) + (xy 166.932 144.322) + (xy 167.332 144.322) + (xy 167.332 145.1095) + (xy 168.257 145.1095) + (xy 168.257 144.906358) + (xy 168.254099 144.869506) + (xy 168.208281 144.7118) + (xy 168.124682 144.570443) + (xy 168.008556 144.454317) + (xy 167.867199 144.370718) + (xy 167.709491 144.3249) + (xy 167.709494 144.3249) + (xy 167.672641 144.322) + (xy 167.332 144.322) + (xy 166.932 144.322) + (xy 166.591359 144.322) + (xy 166.554506 144.3249) + (xy 166.3968 144.370718) + (xy 166.255443 144.454317) + (xy 166.139317 144.570443) + (xy 166.055718 144.7118) + (xy 166.0099 144.869506) + (xy 166.007 144.906358) + (xy 166.007 145.1095) + (xy 138.684 145.1095) + (xy 138.684 116.3035) + (xy 138.992 116.3035) + (xy 139.392 116.3035) + (xy 139.732641 116.3035) + (xy 139.769493 116.300599) + (xy 139.927199 116.254781) + (xy 140.068556 116.171182) + (xy 140.184682 116.055056) + (xy 140.233502 115.972506) + (xy 140.272617 115.940377) + (xy 140.323233 115.940906) + (xy 140.334866 115.94648) + (xy 140.477304 116.030718) + (xy 140.631979 116.075655) + (xy 140.631976 116.075655) + (xy 140.668117 116.078499) + (xy 140.77 116.078499) + (xy 140.77 115.391) + (xy 141.17 115.391) + (xy 141.17 116.078499) + (xy 141.271882 116.078499) + (xy 141.308022 116.075655) + (xy 141.462695 116.030718) + (xy 141.601334 115.948727) + (xy 141.715227 115.834834) + (xy 141.797218 115.696195) + (xy 141.842155 115.541522) + (xy 141.845 115.505382) + (xy 141.845 115.391) + (xy 141.17 115.391) + (xy 140.77 115.391) + (xy 140.357 115.391) + (xy 140.357 115.442) + (xy 140.339687 115.489566) + (xy 140.29585 115.514876) + (xy 140.283 115.516) + (xy 139.392 115.516) + (xy 139.392 116.3035) + (xy 138.992 116.3035) + (xy 138.992 115.116) + (xy 139.392 115.116) + (xy 140.055 115.116) + (xy 140.055 115.065) + (xy 140.072313 115.017434) + (xy 140.11615 114.992124) + (xy 140.129 114.991) + (xy 140.77 114.991) + (xy 140.77 114.3035) + (xy 141.17 114.3035) + (xy 141.17 114.991) + (xy 141.844999 114.991) + (xy 141.844999 114.876618) + (xy 141.842155 114.840477) + (xy 141.797218 114.685804) + (xy 141.715227 114.547165) + (xy 141.601334 114.433272) + (xy 141.462695 114.351281) + (xy 141.30802 114.306344) + (xy 141.308023 114.306344) + (xy 141.271882 114.3035) + (xy 141.17 114.3035) + (xy 140.77 114.3035) + (xy 140.668118 114.3035) + (xy 140.631977 114.306344) + (xy 140.477304 114.351281) + (xy 140.338665 114.433272) + (xy 140.242164 114.529773) + (xy 140.196287 114.551165) + (xy 140.147393 114.538064) + (xy 140.137512 114.529773) + (xy 140.068556 114.460817) + (xy 139.927199 114.377218) + (xy 139.769491 114.3314) + (xy 139.769494 114.3314) + (xy 139.732641 114.3285) + (xy 139.392 114.3285) + (xy 139.392 115.116) + (xy 138.992 115.116) + (xy 138.992 114.3285) + (xy 138.684 114.3285) + (xy 138.684 114.029) + (xy 139.721258 114.029) + (xy 139.721266 114.029) + (xy 139.751699 114.026146) + (xy 139.879882 113.981293) + (xy 139.98915 113.90065) + (xy 140.069793 113.791382) + (xy 140.069794 113.79138) + (xy 140.087402 113.74106) + (xy 140.119453 113.70188) + (xy 140.157249 113.6915) + (xy 140.242373 113.6915) + (xy 140.289939 113.708813) + (xy 140.31222 113.741059) + (xy 140.340884 113.822975) + (xy 140.419289 113.929211) + (xy 140.476898 113.971728) + (xy 140.525526 114.007617) + (xy 140.650145 114.051223) + (xy 140.650151 114.051225) + (xy 140.679744 114.054) + (xy 140.679751 114.054) + (xy 141.260249 114.054) + (xy 141.260256 114.054) + (xy 141.289849 114.051225) + (xy 141.361526 114.026144) + (xy 141.414473 114.007617) + (xy 141.414473 114.007616) + (xy 141.414475 114.007616) + (xy 141.520711 113.929211) + (xy 141.599116 113.822975) + (xy 141.642725 113.698349) + (xy 141.6455 113.668756) + (xy 141.6455 113.063244) + (xy 141.642725 113.033651) + (xy 141.642723 113.033645) + (xy 141.599117 112.909026) + (xy 141.573031 112.873681) + (xy 141.520711 112.802789) + (xy 141.470134 112.765462) + (xy 141.414473 112.724382) + (xy 141.289854 112.680776) + (xy 141.289855 112.680776) + (xy 141.28985 112.680775) + (xy 141.289849 112.680775) + (xy 141.260256 112.678) + (xy 140.679744 112.678) + (xy 140.650151 112.680775) + (xy 140.650149 112.680775) + (xy 140.650145 112.680776) + (xy 140.525526 112.724382) + (xy 140.41929 112.802788) + (xy 140.419288 112.80279) + (xy 140.340883 112.909026) + (xy 140.31222 112.990941) + (xy 140.280169 113.03012) + (xy 140.242373 113.0405) + (xy 140.1915 113.0405) + (xy 140.143934 113.023187) + (xy 140.118624 112.97935) + (xy 140.1175 112.9665) + (xy 140.1175 112.84924) + (xy 140.1175 112.849234) + (xy 140.114646 112.818801) + (xy 140.110307 112.806402) + (xy 140.069793 112.690618) + (xy 140.069792 112.690616) + (xy 139.989153 112.581353) + (xy 139.989146 112.581346) + (xy 139.879883 112.500707) + (xy 139.879881 112.500706) + (xy 139.751704 112.455855) + (xy 139.751705 112.455855) + (xy 139.7517 112.455854) + (xy 139.751699 112.455854) + (xy 139.721266 112.453) + (xy 139.72126 112.453) + (xy 139.3375 112.453) + (xy 139.289934 112.435687) + (xy 139.264624 112.39185) + (xy 139.2635 112.379) + (xy 139.2635 112.164) + (xy 139.280813 112.116434) + (xy 139.32465 112.091124) + (xy 139.3375 112.09) + (xy 139.342258 112.09) + (xy 139.342266 112.09) + (xy 139.372699 112.087146) + (xy 139.500882 112.042293) + (xy 139.61015 111.96165) + (xy 139.690793 111.852382) + (xy 139.735646 111.724199) + (xy 139.7385 111.693766) + (xy 139.7385 111.035234) + (xy 139.735646 111.004801) + (xy 139.690793 110.876618) + (xy 139.651753 110.82372) + (xy 139.610153 110.767353) + (xy 139.610146 110.767346) + (xy 139.500883 110.686707) + (xy 139.500881 110.686706) + (xy 139.372704 110.641855) + (xy 139.372705 110.641855) + (xy 139.3727 110.641854) + (xy 139.372699 110.641854) + (xy 139.342266 110.639) + (xy 138.684 110.639) + (xy 138.684 109.79) + (xy 139.342258 109.79) + (xy 139.342266 109.79) + (xy 139.372699 109.787146) + (xy 139.500882 109.742293) + (xy 139.61015 109.66165) + (xy 139.690793 109.552382) + (xy 139.735646 109.424199) + (xy 139.7385 109.393766) + (xy 139.7385 108.735234) + (xy 139.735646 108.704801) + (xy 139.690793 108.576618) + (xy 139.690792 108.576616) + (xy 139.610153 108.467353) + (xy 139.610146 108.467346) + (xy 139.500883 108.386707) + (xy 139.500881 108.386706) + (xy 139.372704 108.341855) + (xy 139.372705 108.341855) + (xy 139.3727 108.341854) + (xy 139.372699 108.341854) + (xy 139.342266 108.339) + (xy 138.684 108.339) + (xy 138.684 107.6675) + (xy 138.992 107.6675) + (xy 138.992 106.88) + (xy 139.392 106.88) + (xy 139.392 107.6675) + (xy 139.732641 107.6675) + (xy 139.769493 107.664599) + (xy 139.927199 107.618781) + (xy 140.068556 107.535182) + (xy 140.184682 107.419056) + (xy 140.268281 107.277699) + (xy 140.314099 107.119993) + (xy 140.317 107.083141) + (xy 140.317 106.88) + (xy 139.392 106.88) + (xy 138.992 106.88) + (xy 138.992 105.6925) + (xy 139.392 105.6925) + (xy 139.392 106.48) + (xy 140.317 106.48) + (xy 140.317 106.276858) + (xy 140.314099 106.240006) + (xy 140.268281 106.0823) + (xy 140.184682 105.940943) + (xy 140.068556 105.824817) + (xy 139.927199 105.741218) + (xy 139.769491 105.6954) + (xy 139.769494 105.6954) + (xy 139.732641 105.6925) + (xy 139.392 105.6925) + (xy 138.992 105.6925) + (xy 138.684 105.6925) + (xy 138.684 105.393) + (xy 139.721258 105.393) + (xy 139.721266 105.393) + (xy 139.751699 105.390146) + (xy 139.879882 105.345293) + (xy 139.98915 105.26465) + (xy 140.069793 105.155382) + (xy 140.114646 105.027199) + (xy 140.1175 104.996766) + (xy 140.1175 104.213234) + (xy 140.114646 104.182801) + (xy 140.069793 104.054618) + (xy 140.069792 104.054616) + (xy 139.989153 103.945353) + (xy 139.989146 103.945346) + (xy 139.879883 103.864707) + (xy 139.879881 103.864706) + (xy 139.751704 103.819855) + (xy 139.751705 103.819855) + (xy 139.7517 103.819854) + (xy 139.751699 103.819854) + (xy 139.721266 103.817) + (xy 139.72126 103.817) + (xy 139.5915 103.817) + (xy 139.543934 103.799687) + (xy 139.518624 103.75585) + (xy 139.5175 103.743) + (xy 139.5175 103.528) + (xy 139.534813 103.480434) + (xy 139.57865 103.455124) + (xy 139.5915 103.454) + (xy 139.596258 103.454) + (xy 139.596266 103.454) + (xy 139.626699 103.451146) + (xy 139.626702 103.451144) + (xy 139.62881 103.450947) + (xy 139.642223 103.4495) + (xy 139.788522 103.4495) + (xy 139.836088 103.466813) + (xy 139.840848 103.471174) + (xy 142.400826 106.031152) + (xy 142.422218 106.077028) + (xy 142.4225 106.083478) + (xy 142.4225 116.29352) + (xy 142.405187 116.341086) + (xy 142.400826 116.345846) + (xy 138.97303 119.773641) + (xy 138.97065 119.775822) + (xy 138.938808 119.802542) + (xy 138.938805 119.802545) + (xy 138.918019 119.838546) + (xy 138.916285 119.841268) + (xy 138.892447 119.875312) + (xy 138.892445 119.875317) + (xy 138.891201 119.879959) + (xy 138.883815 119.89779) + (xy 138.881412 119.901951) + (xy 138.881412 119.901952) + (xy 138.874193 119.942884) + (xy 138.873495 119.946033) + (xy 138.862735 119.986192) + (xy 138.862735 119.986194) + (xy 138.866359 120.027598) + (xy 138.8665 120.030825) + (xy 138.8665 135.112173) + (xy 138.866359 135.1154) + (xy 138.862735 135.156805) + (xy 138.862735 135.156806) + (xy 138.873495 135.196964) + (xy 138.874193 135.200114) + (xy 138.881411 135.241044) + (xy 138.883811 135.2452) + (xy 138.891203 135.263047) + (xy 138.892445 135.267682) + (xy 138.892446 135.267685) + (xy 138.916288 135.301736) + (xy 138.918022 135.304458) + (xy 138.926812 135.319681) + (xy 138.938806 135.340455) + (xy 138.938808 135.340457) + (xy 138.938807 135.340457) + (xy 138.955938 135.35483) + (xy 138.970658 135.367182) + (xy 138.973027 135.369353) + (xy 147.057152 143.453479) + (xy 147.059324 143.455849) + (xy 147.086045 143.487694) + (xy 147.122048 143.50848) + (xy 147.124772 143.510216) + (xy 147.158814 143.534053) + (xy 147.158815 143.534053) + (xy 147.158816 143.534054) + (xy 147.163445 143.535294) + (xy 147.1813 143.542689) + (xy 147.185455 143.545088) + (xy 147.226415 143.552309) + (xy 147.229528 143.553) + (xy 147.269693 143.563763) + (xy 147.311098 143.56014) + (xy 147.314317 143.56) + (xy 166.132901 143.56) + (xy 166.180467 143.577313) + (xy 166.205777 143.62115) + (xy 166.206575 143.627075) + (xy 166.209354 143.656699) + (xy 166.209354 143.656701) + (xy 166.209355 143.656704) + (xy 166.254206 143.784881) + (xy 166.254207 143.784883) + (xy 166.334846 143.894146) + (xy 166.334853 143.894153) + (xy 166.444116 143.974792) + (xy 166.444118 143.974793) + (xy 166.572295 144.019644) + (xy 166.572301 144.019646) + (xy 166.602734 144.0225) + (xy 166.602741 144.0225) + (xy 167.661258 144.0225) + (xy 167.661266 144.0225) + (xy 167.691699 144.019646) + (xy 167.819882 143.974793) + (xy 167.92915 143.89415) + (xy 168.009793 143.784882) + (xy 168.054646 143.656699) + (xy 168.0575 143.626266) + (xy 168.0575 142.842734) + (xy 168.054646 142.812301) + (xy 168.009793 142.684118) + (xy 168.009792 142.684116) + (xy 167.929153 142.574853) + (xy 167.929146 142.574846) + (xy 167.819883 142.494207) + (xy 167.819881 142.494206) + (xy 167.691704 142.449355) + (xy 167.691705 142.449355) + (xy 167.6917 142.449354) + (xy 167.691699 142.449354) + (xy 167.661266 142.4465) + (xy 167.66126 142.4465) + (xy 167.6515 142.4465) + (xy 167.603934 142.429187) + (xy 167.578624 142.38535) + (xy 167.5775 142.3725) + (xy 167.5775 142.086119) + (xy 167.594813 142.038553) + (xy 167.627059 142.016272) + (xy 167.701396 141.990259) + (xy 167.739882 141.976793) + (xy 167.84915 141.89615) + (xy 167.929793 141.786882) + (xy 167.974646 141.658699) + (xy 167.9775 141.628266) + (xy 167.9775 140.819734) + (xy 167.974646 140.789301) + (xy 167.929793 140.661118) + (xy 167.929792 140.661116) + (xy 167.849153 140.551853) + (xy 167.849146 140.551846) + (xy 167.739883 140.471207) + (xy 167.739881 140.471206) + (xy 167.611704 140.426355) + (xy 167.611705 140.426355) + (xy 167.6117 140.426354) + (xy 167.611699 140.426354) + (xy 167.581266 140.4235) + (xy 166.922734 140.4235) + (xy 166.892301 140.426354) + (xy 166.892299 140.426354) + (xy 166.892295 140.426355) + (xy 166.764118 140.471206) + (xy 166.764116 140.471207) + (xy 166.654853 140.551846) + (xy 166.654846 140.551853) + (xy 166.574207 140.661116) + (xy 166.574206 140.661118) + (xy 166.529355 140.789295) + (xy 166.529354 140.789299) + (xy 166.529354 140.789301) + (xy 166.5265 140.819734) + (xy 166.5265 141.628266) + (xy 166.529354 141.658699) + (xy 166.529354 141.658701) + (xy 166.529355 141.658704) + (xy 166.574206 141.786881) + (xy 166.574207 141.786883) + (xy 166.654846 141.896146) + (xy 166.654853 141.896153) + (xy 166.764116 141.976792) + (xy 166.764118 141.976793) + (xy 166.876941 142.016272) + (xy 166.91612 142.048323) + (xy 166.9265 142.086119) + (xy 166.9265 142.3725) + (xy 166.909187 142.420066) + (xy 166.86535 142.445376) + (xy 166.8525 142.4465) + (xy 166.602734 142.4465) + (xy 166.572301 142.449354) + (xy 166.572299 142.449354) + (xy 166.572295 142.449355) + (xy 166.444118 142.494206) + (xy 166.444116 142.494207) + (xy 166.334853 142.574846) + (xy 166.334846 142.574853) + (xy 166.254207 142.684116) + (xy 166.254206 142.684118) + (xy 166.209355 142.812295) + (xy 166.209354 142.812299) + (xy 166.209354 142.812301) + (xy 166.206577 142.84191) + (xy 166.184899 142.887651) + (xy 166.138891 142.908757) + (xy 166.132901 142.909) + (xy 147.463979 142.909) + (xy 147.416413 142.891687) + (xy 147.411653 142.887326) + (xy 139.539174 135.014847) + (xy 139.517782 134.968971) + (xy 139.5175 134.962521) + (xy 139.5175 133.405384) + (xy 152.81 133.405384) + (xy 152.820468 133.568913) + (xy 152.875969 133.841431) + (xy 152.875973 133.841446) + (xy 152.970563 134.102979) + (xy 152.970566 134.102986) + (xy 153.102223 134.347954) + (xy 153.102234 134.347971) + (xy 153.268168 134.571173) + (xy 153.464826 134.767831) + (xy 153.688028 134.933765) + (xy 153.688045 134.933776) + (xy 153.933013 135.065433) + (xy 153.93302 135.065436) + (xy 154.194553 135.160026) + (xy 154.194568 135.16003) + (xy 154.467086 135.215531) + (xy 154.630615 135.225999) + (xy 154.630625 135.226) + (xy 156.01 135.226) + (xy 156.01 134.069359) + (xy 156.05938 134.076) + (xy 156.285228 134.076) + (xy 156.41 134.067647) + (xy 156.41 135.226) + (xy 157.789375 135.226) + (xy 157.789384 135.225999) + (xy 157.952913 135.215531) + (xy 158.225431 135.16003) + (xy 158.225446 135.160026) + (xy 158.486979 135.065436) + (xy 158.486986 135.065433) + (xy 158.731954 134.933776) + (xy 158.731971 134.933765) + (xy 158.955173 134.767831) + (xy 159.151831 134.571173) + (xy 159.317765 134.347971) + (xy 159.317776 134.347954) + (xy 159.449433 134.102986) + (xy 159.449436 134.102979) + (xy 159.544026 133.841446) + (xy 159.54403 133.841431) + (xy 159.599531 133.568913) + (xy 159.609999 133.405384) + (xy 159.61 133.405375) + (xy 159.61 132.026) + (xy 158.454571 132.026) + (xy 158.463777 131.750648) + (xy 158.451237 131.626) + (xy 159.61 131.626) + (xy 159.61 130.246624) + (xy 159.609999 130.246615) + (xy 159.599531 130.083086) + (xy 159.54403 129.810568) + (xy 159.544026 129.810553) + (xy 159.449436 129.54902) + (xy 159.449433 129.549013) + (xy 159.317776 129.304045) + (xy 159.317765 129.304028) + (xy 159.151831 129.080826) + (xy 158.955173 128.884168) + (xy 158.731971 128.718234) + (xy 158.731954 128.718223) + (xy 158.486986 128.586566) + (xy 158.486979 128.586563) + (xy 158.225446 128.491973) + (xy 158.225431 128.491969) + (xy 157.952913 128.436468) + (xy 157.789384 128.426) + (xy 156.41 128.426) + (xy 156.41 129.58264) + (xy 156.36062 129.576) + (xy 156.134772 129.576) + (xy 156.01 129.584352) + (xy 156.01 128.426) + (xy 154.630615 128.426) + (xy 154.467086 128.436468) + (xy 154.194568 128.491969) + (xy 154.194553 128.491973) + (xy 153.93302 128.586563) + (xy 153.933013 128.586566) + (xy 153.688045 128.718223) + (xy 153.688028 128.718234) + (xy 153.464826 128.884168) + (xy 153.268168 129.080826) + (xy 153.102234 129.304028) + (xy 153.102223 129.304045) + (xy 152.970566 129.549013) + (xy 152.970563 129.54902) + (xy 152.875973 129.810553) + (xy 152.875969 129.810568) + (xy 152.820468 130.083086) + (xy 152.81 130.246615) + (xy 152.81 131.626) + (xy 153.965429 131.626) + (xy 153.956223 131.901352) + (xy 153.968763 132.026) + (xy 152.81 132.026) + (xy 152.81 133.405384) + (xy 139.5175 133.405384) + (xy 139.5175 125.983999) + (xy 142.808901 125.983999) + (xy 142.827253 126.170332) + (xy 142.881605 126.349505) + (xy 142.969859 126.514617) + (xy 142.969865 126.514626) + (xy 143.088645 126.659359) + (xy 143.08865 126.659364) + (xy 143.136444 126.698586) + (xy 143.162231 126.742144) + (xy 143.1635 126.755789) + (xy 143.1635 127.466209) + (xy 143.163183 127.471044) + (xy 143.158318 127.507999) + (xy 143.178955 127.66476) + (xy 143.178955 127.664761) + (xy 143.239464 127.810841) + (xy 143.335715 127.936279) + (xy 143.33572 127.936284) + (xy 143.419345 128.000451) + (xy 143.461159 128.032536) + (xy 143.607238 128.093044) + (xy 143.764 128.113682) + (xy 143.920762 128.093044) + (xy 144.066841 128.032536) + (xy 144.192282 127.936282) + (xy 144.288536 127.810841) + (xy 144.349044 127.664762) + (xy 144.369682 127.508) + (xy 144.364817 127.471044) + (xy 144.3645 127.466209) + (xy 144.3645 126.755789) + (xy 144.381813 126.708223) + (xy 144.391556 126.698586) + (xy 144.439349 126.659364) + (xy 144.439351 126.659361) + (xy 144.439357 126.659357) + (xy 144.558136 126.514625) + (xy 144.646396 126.349501) + (xy 144.700747 126.170331) + (xy 144.719099 125.984) + (xy 144.700747 125.797669) + (xy 144.646396 125.618499) + (xy 144.646394 125.618496) + (xy 144.646394 125.618494) + (xy 144.55814 125.453382) + (xy 144.558138 125.45338) + (xy 144.558136 125.453375) + (xy 144.439357 125.308643) + (xy 144.294625 125.189864) + (xy 144.294622 125.189862) + (xy 144.294617 125.189859) + (xy 144.129505 125.101605) + (xy 143.950332 125.047253) + (xy 143.764 125.028901) + (xy 143.577667 125.047253) + (xy 143.398494 125.101605) + (xy 143.233382 125.189859) + (xy 143.233373 125.189865) + (xy 143.088643 125.308643) + (xy 142.969865 125.453373) + (xy 142.969859 125.453382) + (xy 142.881605 125.618494) + (xy 142.827253 125.797667) + (xy 142.808901 125.983999) + (xy 139.5175 125.983999) + (xy 139.5175 120.180478) + (xy 139.534813 120.132912) + (xy 139.539174 120.128152) + (xy 141.211193 118.456133) + (xy 142.966989 116.700336) + (xy 142.969335 116.698186) + (xy 143.001194 116.671455) + (xy 143.021981 116.635448) + (xy 143.023711 116.632733) + (xy 143.047553 116.598684) + (xy 143.048794 116.594051) + (xy 143.056188 116.5762) + (xy 143.058588 116.572045) + (xy 143.065809 116.531089) + (xy 143.066506 116.527949) + (xy 143.077263 116.487807) + (xy 143.07364 116.446402) + (xy 143.0735 116.443181) + (xy 143.0735 115.027597) + (xy 144.9275 115.027597) + (xy 144.933653 115.105786) + (xy 144.933656 115.105801) + (xy 144.982375 115.287624) + (xy 144.982378 115.287631) + (xy 144.982379 115.287634) + (xy 144.982882 115.288621) + (xy 145.067846 115.455375) + (xy 145.11694 115.516) + (xy 145.186321 115.601679) + (xy 145.276655 115.67483) + (xy 145.332624 115.720153) + (xy 145.332626 115.720154) + (xy 145.500366 115.805621) + (xy 145.500371 115.805622) + (xy 145.500375 115.805624) + (xy 145.682198 115.854343) + (xy 145.682201 115.854343) + (xy 145.68221 115.854346) + (xy 145.760402 115.8605) + (xy 149.895598 115.8605) + (xy 149.97379 115.854346) + (xy 150.04661 115.834834) + (xy 150.155624 115.805624) + (xy 150.155625 115.805623) + (xy 150.155634 115.805621) + (xy 150.228361 115.768564) + (xy 150.261956 115.7605) + (xy 151.9415 115.7605) + (xy 151.989066 115.777813) + (xy 152.014376 115.82165) + (xy 152.0155 115.8345) + (xy 152.0155 116.41321) + (xy 152.015425 116.415565) + (xy 152.010753 116.488834) + (xy 152.021766 116.592222) + (xy 152.021841 116.593003) + (xy 152.030652 116.696534) + (xy 152.033035 116.705686) + (xy 152.035004 116.716482) + (xy 152.036006 116.725887) + (xy 152.064338 116.825942) + (xy 152.064543 116.826699) + (xy 152.090724 116.927248) + (xy 152.090727 116.927255) + (xy 152.094616 116.935858) + (xy 152.098383 116.946169) + (xy 152.100962 116.955273) + (xy 152.145787 117.04908) + (xy 152.146118 117.049792) + (xy 152.188922 117.144484) + (xy 152.194214 117.152314) + (xy 152.199673 117.161848) + (xy 152.203747 117.170375) + (xy 152.203751 117.170382) + (xy 152.232813 117.211472) + (xy 152.263802 117.255288) + (xy 152.264187 117.255844) + (xy 152.32242 117.342002) + (xy 152.322421 117.342003) + (xy 152.322424 117.342007) + (xy 152.328961 117.348827) + (xy 152.335949 117.357295) + (xy 152.34141 117.365016) + (xy 152.341411 117.365017) + (xy 152.4149 117.438506) + (xy 152.415449 117.439067) + (xy 152.487379 117.514118) + (xy 152.494981 117.519741) + (xy 152.503305 117.526911) + (xy 154.787826 119.811432) + (xy 154.809218 119.857308) + (xy 154.8095 119.863758) + (xy 154.8095 121.256085) + (xy 154.792187 121.303651) + (xy 154.762022 121.325169) + (xy 154.743532 121.332267) + (xy 154.743524 121.33227) + (xy 154.407288 121.503591) + (xy 154.090773 121.709138) + (xy 153.797486 121.946637) + (xy 153.530637 122.213486) + (xy 153.293138 122.506773) + (xy 153.087591 122.823288) + (xy 152.916271 123.159522) + (xy 152.916268 123.159528) + (xy 152.781023 123.511854) + (xy 152.683345 123.876393) + (xy 152.62431 124.249124) + (xy 152.62431 124.249125) + (xy 152.604559 124.625999) + (xy 152.62431 125.002874) + (xy 152.62431 125.002875) + (xy 152.683345 125.375606) + (xy 152.683347 125.375613) + (xy 152.781022 125.740143) + (xy 152.916266 126.092465) + (xy 153.087597 126.428721) + (xy 153.293137 126.745225) + (xy 153.530635 127.038511) + (xy 153.797489 127.305365) + (xy 154.090775 127.542863) + (xy 154.407279 127.748403) + (xy 154.743535 127.919734) + (xy 155.095857 128.054978) + (xy 155.460387 128.152653) + (xy 155.833129 128.21169) + (xy 156.21 128.231441) + (xy 156.586871 128.21169) + (xy 156.959613 128.152653) + (xy 157.324143 128.054978) + (xy 157.676465 127.919734) + (xy 158.012721 127.748403) + (xy 158.329225 127.542863) + (xy 158.622511 127.305365) + (xy 158.889365 127.038511) + (xy 159.126863 126.745225) + (xy 159.332403 126.428721) + (xy 159.503734 126.092465) + (xy 159.638978 125.740143) + (xy 159.736653 125.375613) + (xy 159.79569 125.002871) + (xy 159.815441 124.626) + (xy 159.79569 124.249129) + (xy 159.736653 123.876387) + (xy 159.638978 123.511857) + (xy 159.503734 123.159535) + (xy 159.503732 123.159532) + (xy 159.503731 123.159528) + (xy 159.503728 123.159522) + (xy 159.332408 122.823288) + (xy 159.332403 122.82328) + (xy 159.126863 122.506775) + (xy 158.889365 122.213489) + (xy 158.622511 121.946635) + (xy 158.329225 121.709137) + (xy 158.012721 121.503597) + (xy 157.676465 121.332266) + (xy 157.676464 121.332265) + (xy 157.676463 121.332265) + (xy 157.657978 121.325169) + (xy 157.619777 121.291959) + (xy 157.6105 121.256085) + (xy 157.6105 119.298788) + (xy 157.610575 119.296433) + (xy 157.615247 119.223167) + (xy 157.604233 119.119782) + (xy 157.604163 119.11906) + (xy 157.599457 119.063766) + (xy 157.595347 119.015464) + (xy 157.595346 119.015462) + (xy 157.595346 119.015459) + (xy 157.592963 119.006311) + (xy 157.590992 118.995499) + (xy 157.589992 118.98611) + (xy 157.58999 118.986101) + (xy 157.561671 118.886095) + (xy 157.561465 118.885337) + (xy 157.535275 118.784751) + (xy 157.53138 118.776135) + (xy 157.527615 118.765829) + (xy 157.525038 118.756727) + (xy 157.480192 118.662876) + (xy 157.479906 118.662262) + (xy 157.437077 118.567514) + (xy 157.431783 118.559681) + (xy 157.426327 118.550153) + (xy 157.422252 118.541625) + (xy 157.422251 118.541623) + (xy 157.362193 118.456708) + (xy 157.361796 118.456133) + (xy 157.303578 118.369996) + (xy 157.303577 118.369994) + (xy 157.297038 118.363172) + (xy 157.290044 118.354696) + (xy 157.28459 118.346984) + (xy 157.211098 118.273492) + (xy 157.210549 118.272931) + (xy 157.138621 118.197882) + (xy 157.13102 118.19226) + (xy 157.122696 118.18509) + (xy 154.838174 115.900568) + (xy 154.816782 115.854692) + (xy 154.8165 115.848242) + (xy 154.8165 115.8345) + (xy 154.833813 115.786934) + (xy 154.87765 115.761624) + (xy 154.8905 115.7605) + (xy 155.060211 115.7605) + (xy 155.062566 115.760575) + (xy 155.135834 115.765247) + (xy 155.239227 115.754231) + (xy 155.239929 115.754164) + (xy 155.343541 115.745346) + (xy 155.352681 115.742965) + (xy 155.363498 115.740992) + (xy 155.372893 115.739992) + (xy 155.472984 115.711648) + (xy 155.473615 115.711477) + (xy 155.574249 115.685275) + (xy 155.582863 115.68138) + (xy 155.593181 115.677612) + (xy 155.602273 115.675038) + (xy 155.696135 115.630185) + (xy 155.69677 115.62989) + (xy 155.791486 115.587077) + (xy 155.799312 115.581786) + (xy 155.808847 115.576326) + (xy 155.817378 115.572251) + (xy 155.902291 115.512193) + (xy 155.902901 115.511773) + (xy 155.912357 115.505382) + (xy 155.989003 115.453579) + (xy 155.995824 115.44704) + (xy 156.004305 115.440043) + (xy 156.012016 115.43459) + (xy 156.085551 115.361053) + (xy 156.086008 115.360606) + (xy 156.161118 115.288621) + (xy 156.166746 115.28101) + (xy 156.173904 115.2727) + (xy 156.874362 114.572242) + (xy 156.89146 114.559493) + (xy 157.006067 114.497472) + (xy 157.195764 114.349825) + (xy 157.358571 114.172969) + (xy 157.490049 113.971728) + (xy 157.58661 113.751591) + (xy 157.64562 113.518563) + (xy 157.64562 113.518559) + (xy 157.645621 113.518557) + (xy 157.645621 113.518556) + (xy 157.665471 113.279003) + (xy 157.665471 113.278996) + (xy 157.645621 113.039443) + (xy 157.645621 113.039442) + (xy 157.644153 113.033645) + (xy 157.58661 112.806409) + (xy 157.490049 112.586272) + (xy 157.358571 112.385031) + (xy 157.195764 112.208175) + (xy 157.078784 112.117126) + (xy 157.051885 112.074252) + (xy 157.058837 112.024112) + (xy 157.096392 111.990173) + (xy 157.112664 111.985644) + (xy 157.185148 111.974165) + (xy 157.185149 111.974164) + (xy 157.298046 111.916639) + (xy 157.387639 111.827046) + (xy 157.445164 111.714149) + (xy 157.445164 111.714148) + (xy 157.46 111.620481) + (xy 157.46 110.939) + (xy 156.672383 110.939) + (xy 156.71 110.810889) + (xy 156.71 110.667111) + (xy 156.672383 110.539) + (xy 157.459999 110.539) + (xy 157.459999 109.857517) + (xy 157.445165 109.763851) + (xy 157.445164 109.76385) + (xy 157.387639 109.650953) + (xy 157.298046 109.56136) + (xy 157.185149 109.503835) + (xy 157.091482 109.489) + (xy 156.41 109.489) + (xy 156.41 110.280664) + (xy 156.352315 110.25432) + (xy 156.245763 110.239) + (xy 156.174237 110.239) + (xy 156.067685 110.25432) + (xy 156.01 110.280664) + (xy 156.01 109.489) + (xy 155.328518 109.489) + (xy 155.234851 109.503834) + (xy 155.23485 109.503835) + (xy 155.121953 109.56136) + (xy 155.03236 109.650953) + (xy 154.974835 109.76385) + (xy 154.974835 109.763851) + (xy 154.96 109.857518) + (xy 154.96 110.539) + (xy 155.747617 110.539) + (xy 155.71 110.667111) + (xy 155.71 110.810889) + (xy 155.747617 110.939) + (xy 154.960001 110.939) + (xy 154.960001 111.620482) + (xy 154.974834 111.714148) + (xy 154.974835 111.714149) + (xy 155.03236 111.827046) + (xy 155.121953 111.916639) + (xy 155.23485 111.974164) + (xy 155.234851 111.974165) + (xy 155.307333 111.985644) + (xy 155.351606 112.010184) + (xy 155.369747 112.057441) + (xy 155.353267 112.105302) + (xy 155.34121 112.117129) + (xy 155.224234 112.208176) + (xy 155.061428 112.385032) + (xy 155.061425 112.385037) + (xy 154.992249 112.490916) + (xy 154.982625 112.502767) + (xy 154.547568 112.937826) + (xy 154.501692 112.959218) + (xy 154.495242 112.9595) + (xy 153.507677 112.9595) + (xy 153.502973 112.9592) + (xy 153.475648 112.955701) + (xy 153.41708 112.95819) + (xy 153.387008 112.959467) + (xy 153.385468 112.9595) + (xy 150.261956 112.9595) + (xy 150.228361 112.951435) + (xy 150.155634 112.914379) + (xy 150.155631 112.914378) + (xy 150.155624 112.914375) + (xy 149.973801 112.865656) + (xy 149.973786 112.865653) + (xy 149.895598 112.8595) + (xy 145.760402 112.8595) + (xy 145.682213 112.865653) + (xy 145.682198 112.865656) + (xy 145.500375 112.914375) + (xy 145.500366 112.914379) + (xy 145.332624 112.999846) + (xy 145.186321 113.118321) + (xy 145.067846 113.264624) + (xy 144.982379 113.432366) + (xy 144.982375 113.432375) + (xy 144.933656 113.614198) + (xy 144.933653 113.614213) + (xy 144.9275 113.692402) + (xy 144.9275 115.027597) + (xy 143.0735 115.027597) + (xy 143.0735 112.267999) + (xy 143.666318 112.267999) + (xy 143.686955 112.42476) + (xy 143.686955 112.424761) + (xy 143.747464 112.570841) + (xy 143.843715 112.696279) + (xy 143.84372 112.696284) + (xy 143.880341 112.724384) + (xy 143.969159 112.792536) + (xy 144.115238 112.853044) + (xy 144.272 112.873682) + (xy 144.428762 112.853044) + (xy 144.574841 112.792536) + (xy 144.700282 112.696282) + (xy 144.796536 112.570841) + (xy 144.857044 112.424762) + (xy 144.877682 112.268) + (xy 144.872817 112.231044) + (xy 144.8725 112.226209) + (xy 144.8725 111.531386) + (xy 144.889813 111.48382) + (xy 144.894163 111.479071) + (xy 145.683068 110.690165) + (xy 145.686703 110.686977) + (xy 145.716282 110.664282) + (xy 145.738977 110.634703) + (xy 145.742166 110.631068) + (xy 146.091061 110.282173) + (xy 146.136938 110.260781) + (xy 146.143387 110.260499) + (xy 149.88032 110.260499) + (xy 149.88032 110.260498) + (xy 149.939346 110.254154) + (xy 150.006107 110.229253) + (xy 150.072866 110.204354) + (xy 150.072866 110.204353) + (xy 150.072868 110.204353) + (xy 150.186951 110.118951) + (xy 150.272353 110.004868) + (xy 150.322154 109.871346) + (xy 150.3285 109.812319) + (xy 150.328499 108.507682) + (xy 150.322154 108.448654) + (xy 150.299049 108.386706) + (xy 150.272354 108.315133) + (xy 150.272353 108.315132) + (xy 150.272352 108.315132) + (xy 150.186951 108.201049) + (xy 150.072868 108.115647) + (xy 150.072867 108.115646) + (xy 150.072866 108.115645) + (xy 149.93935 108.065847) + (xy 149.939347 108.065846) + (xy 149.939346 108.065846) + (xy 149.909832 108.062673) + (xy 149.88032 108.0595) + (xy 149.880319 108.0595) + (xy 148.173072 108.0595) + (xy 148.125506 108.042187) + (xy 148.120746 108.037826) + (xy 148.083174 108.000254) + (xy 148.061782 107.954378) + (xy 148.0615 107.947928) + (xy 148.0615 106.418098) + (xy 148.073972 106.376985) + (xy 148.099866 106.338232) + (xy 148.099865 106.338232) + (xy 148.099867 106.338231) + (xy 148.1115 106.279748) + (xy 148.1115 104.540252) + (xy 148.099867 104.481769) + (xy 148.055552 104.415448) + (xy 148.031997 104.399709) + (xy 147.989232 104.371133) + (xy 147.989233 104.371133) + (xy 147.959989 104.365316) + (xy 147.930748 104.3595) + (xy 146.191252 104.3595) + (xy 146.16201 104.365316) + (xy 146.132767 104.371133) + (xy 146.066449 104.415447) + (xy 146.066447 104.415449) + (xy 146.022133 104.481767) + (xy 146.0105 104.540253) + (xy 146.0105 106.279746) + (xy 146.022133 106.338232) + (xy 146.048028 106.376985) + (xy 146.0605 106.418098) + (xy 146.0605 107.9855) + (xy 146.043187 108.033066) + (xy 145.99935 108.058376) + (xy 145.9865 108.0595) + (xy 145.77568 108.0595) + (xy 145.775679 108.059501) + (xy 145.716651 108.065846) + (xy 145.583133 108.115645) + (xy 145.583132 108.115646) + (xy 145.469049 108.201049) + (xy 145.383646 108.315132) + (xy 145.383645 108.315133) + (xy 145.333847 108.448649) + (xy 145.333846 108.448654) + (xy 145.3275 108.50768) + (xy 145.3275 109.316612) + (xy 145.310187 109.364178) + (xy 145.305826 109.368938) + (xy 144.892929 109.781834) + (xy 144.889287 109.785028) + (xy 144.859718 109.807717) + (xy 144.859717 109.807718) + (xy 144.837028 109.837287) + (xy 144.833834 109.840929) + (xy 143.876929 110.797834) + (xy 143.873287 110.801028) + (xy 143.843718 110.823717) + (xy 143.843715 110.82372) + (xy 143.819549 110.855215) + (xy 143.747464 110.949158) + (xy 143.686955 111.095238) + (xy 143.686955 111.095239) + (xy 143.666318 111.252) + (xy 143.671183 111.288954) + (xy 143.6715 111.293788) + (xy 143.6715 112.226209) + (xy 143.671183 112.231044) + (xy 143.666318 112.267999) + (xy 143.0735 112.267999) + (xy 143.0735 105.933825) + (xy 143.073641 105.930598) + (xy 143.077264 105.889193) + (xy 143.066505 105.849043) + (xy 143.065806 105.845889) + (xy 143.058589 105.804958) + (xy 143.058588 105.804956) + (xy 143.058588 105.804955) + (xy 143.056186 105.800795) + (xy 143.048795 105.782949) + (xy 143.047554 105.778316) + (xy 143.023715 105.74427) + (xy 143.021979 105.741546) + (xy 143.001193 105.705543) + (xy 142.969347 105.678821) + (xy 142.966966 105.67664) + (xy 140.195357 102.905031) + (xy 140.193176 102.902651) + (xy 140.190363 102.899299) + (xy 140.166455 102.870806) + (xy 140.149088 102.860778) + (xy 140.130458 102.850022) + (xy 140.127736 102.848288) + (xy 140.093685 102.824446) + (xy 140.093682 102.824445) + (xy 140.089047 102.823203) + (xy 140.0712 102.815811) + (xy 140.067044 102.813411) + (xy 140.053647 102.811049) + (xy 140.009811 102.785738) + (xy 139.9925 102.738174) + (xy 139.9925 102.418976) + (xy 140.009813 102.37141) + (xy 140.014163 102.366661) + (xy 141.188983 101.191841) + (xy 141.191329 101.189691) + (xy 141.223194 101.162955) + (xy 141.24398 101.12695) + (xy 141.245712 101.124233) + (xy 141.269553 101.090185) + (xy 141.269554 101.090184) + (xy 141.270794 101.085554) + (xy 141.278193 101.067692) + (xy 141.280588 101.063545) + (xy 141.287807 101.0226) + (xy 141.288504 101.01946) + (xy 141.299264 100.979307) + (xy 141.29564 100.937891) + (xy 141.2955 100.93467) + (xy 141.2955 96.431477) + (xy 141.312813 96.383911) + (xy 141.317174 96.379151) + (xy 141.417727 96.278598) + (xy 141.57775 96.118574) + (xy 141.623625 96.097183) + (xy 141.63973 96.097533) + (xy 141.732 96.109682) + (xy 141.888762 96.089044) + (xy 142.034841 96.028536) + (xy 142.160282 95.932282) + (xy 142.256536 95.806841) + (xy 142.317044 95.660762) + (xy 142.337682 95.504) + (xy 142.317044 95.347238) + (xy 142.276767 95.25) + (xy 142.953674 95.25) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 138.757295 103.451144) + (xy 138.757301 103.451146) + (xy 138.787734 103.454) + (xy 138.7925 103.454) + (xy 138.840066 103.471313) + (xy 138.865376 103.51515) + (xy 138.8665 103.528) + (xy 138.8665 103.743) + (xy 138.849187 103.790566) + (xy 138.80535 103.815876) + (xy 138.7925 103.817) + (xy 138.684 103.817) + (xy 138.684 103.425497) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 141.146956 95.347235) + (xy 141.146955 95.347239) + (xy 141.126318 95.504) + (xy 141.138464 95.596265) + (xy 141.127508 95.645684) + (xy 141.117423 95.658249) + (xy 140.75103 96.024641) + (xy 140.74865 96.026822) + (xy 140.716808 96.053542) + (xy 140.716805 96.053545) + (xy 140.696019 96.089546) + (xy 140.694285 96.092268) + (xy 140.670447 96.126312) + (xy 140.670445 96.126317) + (xy 140.669201 96.130959) + (xy 140.661815 96.14879) + (xy 140.659412 96.152951) + (xy 140.659412 96.152952) + (xy 140.652193 96.193884) + (xy 140.651495 96.197033) + (xy 140.640735 96.237192) + (xy 140.640735 96.237194) + (xy 140.644359 96.278598) + (xy 140.6445 96.281825) + (xy 140.6445 100.785021) + (xy 140.627187 100.832587) + (xy 140.622826 100.837347) + (xy 139.478848 101.981326) + (xy 139.432972 102.002718) + (xy 139.426522 102.003) + (xy 138.787734 102.003) + (xy 138.764907 102.00514) + (xy 138.715934 101.992345) + (xy 138.686642 101.951062) + (xy 138.684 101.931464) + (xy 138.684 101.225535) + (xy 138.701313 101.177969) + (xy 138.74515 101.152659) + (xy 138.764906 101.151859) + (xy 138.787734 101.154) + (xy 138.78774 101.154) + (xy 139.596258 101.154) + (xy 139.596266 101.154) + (xy 139.626699 101.151146) + (xy 139.754882 101.106293) + (xy 139.86415 101.02565) + (xy 139.944793 100.916382) + (xy 139.989646 100.788199) + (xy 139.9925 100.757766) + (xy 139.9925 100.099234) + (xy 139.989646 100.068801) + (xy 139.944793 99.940618) + (xy 139.944792 99.940616) + (xy 139.864153 99.831353) + (xy 139.864146 99.831346) + (xy 139.754883 99.750707) + (xy 139.754881 99.750706) + (xy 139.626704 99.705855) + (xy 139.626705 99.705855) + (xy 139.6267 99.705854) + (xy 139.626699 99.705854) + (xy 139.596266 99.703) + (xy 138.787734 99.703) + (xy 138.764907 99.70514) + (xy 138.715934 99.692345) + (xy 138.686642 99.651062) + (xy 138.684 99.631464) + (xy 138.684 95.25) + (xy 141.187232 95.25) + ) + ) + ) + (zone (net 2) (net_name "GND") (layer "F.Cu") (tstamp 8cb06692-69c8-4d8b-a8a8-36c039d9b237) (hatch edge 0.5) + (connect_pads yes (clearance 0)) + (min_thickness 0.2) (filled_areas_thickness no) + (fill yes (thermal_gap 0.5) (thermal_bridge_width 0.5) (island_removal_mode 1) (island_area_min 10)) + (polygon + (pts + (xy 166.37 95.25) + (xy 166.37 92.71) + (xy 180.848 92.71) + (xy 180.848 95.25) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 180.848 95.25) + (xy 166.37 95.25) + (xy 166.37 92.71) + (xy 180.848 92.71) + ) + ) + ) + (zone (net 2) (net_name "GND") (layer "F.Cu") (tstamp a0a0abdb-2ba2-4253-89e6-3edef942bb96) (hatch edge 0.5) + (priority 1) + (connect_pads (clearance 0)) + (min_thickness 0.15) (filled_areas_thickness no) + (fill yes (thermal_gap 0.4) (thermal_bridge_width 0.4) (island_removal_mode 2) (island_area_min 10)) + (polygon + (pts + (xy 88.9 67.818) + (xy 133.096 67.31) + (xy 133.096 102.87) + (xy 141.732 102.87) + (xy 141.732 168.656) + (xy 89.154 167.894) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 122.793685 93.19468) + (xy 122.900237 93.21) + (xy 122.971763 93.21) + (xy 123.078315 93.19468) + (xy 123.135999 93.168336) + (xy 123.135999 93.990629) + (xy 123.136 93.990652) + (xy 123.136 94.791664) + (xy 123.078315 94.76532) + (xy 122.971763 94.75) + (xy 122.900237 94.75) + (xy 122.793685 94.76532) + (xy 122.736 94.791664) + (xy 122.736 93.168335) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 133.096 98.552) + (xy 133.096 100.423687) + (xy 133.078687 100.471253) + (xy 133.03485 100.496563) + (xy 132.985 100.487773) + (xy 132.952463 100.448996) + (xy 132.948911 100.435262) + (xy 132.941165 100.386351) + (xy 132.941164 100.38635) + (xy 132.883639 100.273453) + (xy 132.794046 100.18386) + (xy 132.681149 100.126335) + (xy 132.587482 100.1115) + (xy 132.231 100.1115) + (xy 132.231 101.7375) + (xy 132.213687 101.785066) + (xy 132.16985 101.810376) + (xy 132.157 101.8115) + (xy 131.905 101.8115) + (xy 131.857434 101.794187) + (xy 131.832124 101.75035) + (xy 131.831 101.7375) + (xy 131.830999 100.1115) + (xy 131.474518 100.1115) + (xy 131.380851 100.126334) + (xy 131.38085 100.126335) + (xy 131.267953 100.18386) + (xy 131.17836 100.273453) + (xy 131.120835 100.38635) + (xy 131.120835 100.386351) + (xy 131.106 100.480018) + (xy 131.106 100.51) + (xy 131.088687 100.557566) + (xy 131.04485 100.582876) + (xy 131.032 100.584) + (xy 130.079999 100.584) + (xy 130.032433 100.566687) + (xy 130.007123 100.52285) + (xy 130.005999 100.51) + (xy 130.005999 100.480017) + (xy 129.991165 100.386351) + (xy 129.991164 100.38635) + (xy 129.933639 100.273453) + (xy 129.844046 100.18386) + (xy 129.731149 100.126335) + (xy 129.637482 100.1115) + (xy 129.281 100.1115) + (xy 129.281 103.111499) + (xy 129.466 103.111499) + (xy 129.513566 103.128812) + (xy 129.538876 103.172649) + (xy 129.54 103.185499) + (xy 129.54 104.466149) + (xy 129.522687 104.513715) + (xy 129.47885 104.539025) + (xy 129.429 104.530235) + (xy 129.413674 104.518475) + (xy 129.321548 104.426349) + (xy 129.178792 104.338297) + (xy 129.01958 104.285539) + (xy 128.921311 104.2755) + (xy 128.756 104.2755) + (xy 128.755999 108.025498) + (xy 128.756 108.025499) + (xy 128.921307 108.025499) + (xy 128.921312 108.025498) + (xy 129.019579 108.01546) + (xy 129.178792 107.962702) + (xy 129.321548 107.87465) + (xy 129.440151 107.756047) + (xy 129.455508 107.731151) + (xy 129.495214 107.699756) + (xy 129.51849 107.696) + (xy 129.54 107.696) + (xy 129.867989 107.696) + (xy 129.912702 107.711036) + (xy 129.982236 107.763765) + (xy 130.114703 107.816003) + (xy 130.189886 107.825031) + (xy 130.197947 107.826) + (xy 130.197948 107.826) + (xy 130.914053 107.826) + (xy 130.921401 107.825117) + (xy 130.997297 107.816003) + (xy 131.129764 107.763765) + (xy 131.199297 107.711036) + (xy 131.244011 107.696) + (xy 132.514 107.696) + (xy 132.561566 107.713313) + (xy 132.586876 107.75715) + (xy 132.588 107.77) + (xy 132.588 110.744) + (xy 132.876976 110.744) + (xy 132.924542 110.761313) + (xy 132.949852 110.80515) + (xy 132.945343 110.846319) + (xy 132.938955 110.861738) + (xy 132.9235 110.97914) + (xy 132.9235 143.562826) + (xy 132.923488 143.563752) + (xy 132.922566 143.600132) + (xy 132.921243 143.652362) + (xy 132.921243 143.652365) + (xy 132.932232 143.713679) + (xy 132.932623 143.716463) + (xy 132.938924 143.778429) + (xy 132.938926 143.778439) + (xy 132.949684 143.812731) + (xy 132.950802 143.817283) + (xy 132.957141 143.85265) + (xy 132.980251 143.910509) + (xy 132.981194 143.913158) + (xy 132.999838 143.972582) + (xy 132.999842 143.972592) + (xy 133.017283 144.004013) + (xy 133.019292 144.008245) + (xy 133.021121 144.012822) + (xy 133.032621 144.041613) + (xy 133.032627 144.041623) + (xy 133.0669 144.093627) + (xy 133.068357 144.096032) + (xy 133.09859 144.150501) + (xy 133.121999 144.177769) + (xy 133.124822 144.181513) + (xy 133.144598 144.211519) + (xy 133.188646 144.255567) + (xy 133.190557 144.257629) + (xy 133.217034 144.288471) + (xy 133.231131 144.304891) + (xy 133.231134 144.304895) + (xy 133.259547 144.326888) + (xy 133.263065 144.329986) + (xy 141.015242 152.082164) + (xy 141.015897 152.082835) + (xy 141.076943 152.147055) + (xy 141.076945 152.147056) + (xy 141.128079 152.182647) + (xy 141.130312 152.18433) + (xy 141.178592 152.223697) + (xy 141.20678 152.23842) + (xy 141.210441 152.240333) + (xy 141.214451 152.242763) + (xy 141.243948 152.263293) + (xy 141.243951 152.263295) + (xy 141.283522 152.280276) + (xy 141.301193 152.28786) + (xy 141.303733 152.289066) + (xy 141.320583 152.297867) + (xy 141.358951 152.317909) + (xy 141.376588 152.322955) + (xy 141.393493 152.327792) + (xy 141.397912 152.329365) + (xy 141.407532 152.333493) + (xy 141.430942 152.34354) + (xy 141.491989 152.356084) + (xy 141.49468 152.356745) + (xy 141.554582 152.373886) + (xy 141.590425 152.376614) + (xy 141.595045 152.377262) + (xy 141.630259 152.3845) + (xy 141.692565 152.3845) + (xy 141.69537 152.384606) + (xy 141.732 152.387396) + (xy 141.732 155.8845) + (xy 139.103479 155.8845) + (xy 139.055913 155.867187) + (xy 139.051153 155.862826) + (xy 135.496486 152.308159) + (xy 131.932574 148.744248) + (xy 131.911183 148.698373) + (xy 131.911533 148.682269) + (xy 131.923682 148.59) + (xy 131.903044 148.433238) + (xy 131.842536 148.287159) + (xy 131.780394 148.206174) + (xy 131.746284 148.16172) + (xy 131.746279 148.161715) + (xy 131.620841 148.065464) + (xy 131.474761 148.004955) + (xy 131.318 147.984318) + (xy 131.161239 148.004955) + (xy 131.161238 148.004955) + (xy 131.01516 148.065463) + (xy 130.959761 148.107972) + (xy 130.911484 148.123193) + (xy 130.864719 148.103821) + (xy 130.841346 148.058921) + (xy 130.852302 148.009502) + (xy 130.862382 147.996942) + (xy 131.383983 147.475341) + (xy 131.386329 147.473191) + (xy 131.418194 147.446455) + (xy 131.43898 147.41045) + (xy 131.440712 147.407733) + (xy 131.464553 147.373685) + (xy 131.464554 147.373684) + (xy 131.465794 147.369054) + (xy 131.473193 147.351192) + (xy 131.475588 147.347045) + (xy 131.482807 147.3061) + (xy 131.483504 147.30296) + (xy 131.485843 147.294232) + (xy 131.494264 147.262807) + (xy 131.490639 147.221395) + (xy 131.490499 147.218171) + (xy 131.490499 138.292817) + (xy 131.49064 138.289589) + (xy 131.494263 138.248194) + (xy 131.494263 138.248193) + (xy 131.4835 138.208028) + (xy 131.482809 138.204915) + (xy 131.475588 138.163955) + (xy 131.473189 138.1598) + (xy 131.465794 138.141945) + (xy 131.464554 138.137317) + (xy 131.464553 138.137314) + (xy 131.459374 138.129918) + (xy 131.446273 138.081023) + (xy 131.467665 138.035147) + (xy 131.513542 138.013755) + (xy 131.529644 138.014105) + (xy 131.572 138.019682) + (xy 131.728762 137.999044) + (xy 131.874841 137.938536) + (xy 132.000282 137.842282) + (xy 132.096536 137.716841) + (xy 132.157044 137.570762) + (xy 132.177682 137.414) + (xy 132.157044 137.257238) + (xy 132.096536 137.111159) + (xy 132.043608 137.042182) + (xy 132.000284 136.98572) + (xy 132.000279 136.985715) + (xy 131.874841 136.889464) + (xy 131.858866 136.882847) + (xy 131.728762 136.828956) + (xy 131.723802 136.828303) + (xy 131.707839 136.826201) + (xy 131.66294 136.802826) + (xy 131.64357 136.75606) + (xy 131.6435 136.752834) + (xy 131.6435 134.401641) + (xy 131.660813 134.354075) + (xy 131.672444 134.342939) + (xy 131.746282 134.286282) + (xy 131.842536 134.160841) + (xy 131.903044 134.014762) + (xy 131.923682 133.858) + (xy 131.903044 133.701238) + (xy 131.842536 133.555159) + (xy 131.746282 133.429718) + (xy 131.746279 133.429715) + (xy 131.620841 133.333464) + (xy 131.474761 133.272955) + (xy 131.318 133.252318) + (xy 131.161239 133.272955) + (xy 131.161235 133.272956) + (xy 131.153963 133.275969) + (xy 131.103392 133.278177) + (xy 131.063234 133.247362) + (xy 131.052278 133.197943) + (xy 131.066937 133.162554) + (xy 131.070065 133.158476) + (xy 131.080536 133.144831) + (xy 131.141044 132.998752) + (xy 131.161682 132.84199) + (xy 131.141044 132.685228) + (xy 131.080536 132.539149) + (xy 131.006718 132.442947) + (xy 130.984284 132.41371) + (xy 130.984279 132.413705) + (xy 130.858841 132.317454) + (xy 130.712761 132.256945) + (xy 130.556 132.236308) + (xy 130.399239 132.256945) + (xy 130.399238 132.256945) + (xy 130.253158 132.317454) + (xy 130.12772 132.413705) + (xy 130.127715 132.41371) + (xy 130.031464 132.539148) + (xy 129.970955 132.685228) + (xy 129.970955 132.685229) + (xy 129.950318 132.841989) + (xy 129.970955 132.998749) + (xy 129.970956 132.998753) + (xy 129.973559 133.005037) + (xy 129.97667 133.0525) + (xy 129.972736 133.067183) + (xy 129.972736 133.067184) + (xy 129.972735 133.067184) + (xy 129.976359 133.108588) + (xy 129.9765 133.111815) + (xy 129.9765 137.085918) + (xy 129.959187 137.133484) + (xy 129.91535 137.158794) + (xy 129.8655 137.150004) + (xy 129.850174 137.138244) + (xy 129.381028 136.669098) + (xy 129.359636 136.623222) + (xy 129.361878 136.597611) + (xy 129.363042 136.593265) + (xy 129.363044 136.593262) + (xy 129.383682 136.4365) + (xy 129.363044 136.279738) + (xy 129.302536 136.133659) + (xy 129.25787 136.075449) + (xy 129.206284 136.00822) + (xy 129.206279 136.008215) + (xy 129.080841 135.911964) + (xy 128.934761 135.851455) + (xy 128.778 135.830818) + (xy 128.621239 135.851455) + (xy 128.621238 135.851455) + (xy 128.475158 135.911964) + (xy 128.34972 136.008215) + (xy 128.349715 136.00822) + (xy 128.253464 136.133658) + (xy 128.192955 136.279738) + (xy 128.192955 136.279739) + (xy 128.172318 136.4365) + (xy 128.192955 136.59326) + (xy 128.192955 136.593261) + (xy 128.253464 136.739341) + (xy 128.349715 136.864779) + (xy 128.34972 136.864784) + (xy 128.416013 136.915652) + (xy 128.475159 136.961036) + (xy 128.621238 137.021544) + (xy 128.778 137.042182) + (xy 128.790827 137.040493) + (xy 128.840246 137.051449) + (xy 128.852812 137.061534) + (xy 130.367826 138.576548) + (xy 130.389218 138.622424) + (xy 130.3895 138.628874) + (xy 130.3895 142.6545) + (xy 130.372187 142.702066) + (xy 130.32835 142.727376) + (xy 130.3155 142.7285) + (xy 128.712368 142.7285) + (xy 128.664802 142.711187) + (xy 128.639492 142.66735) + (xy 128.648282 142.6175) + (xy 128.660042 142.602174) + (xy 129.081721 142.180495) + (xy 129.504983 141.757232) + (xy 129.507329 141.755082) + (xy 129.539194 141.728346) + (xy 129.55998 141.692341) + (xy 129.561712 141.689624) + (xy 129.57449 141.671376) + (xy 129.585554 141.655575) + (xy 129.586794 141.650945) + (xy 129.594193 141.633083) + (xy 129.596588 141.628936) + (xy 129.603807 141.587991) + (xy 129.604504 141.584851) + (xy 129.615264 141.544698) + (xy 129.61164 141.503286) + (xy 129.6115 141.500064) + (xy 129.6115 139.735641) + (xy 129.628813 139.688075) + (xy 129.640444 139.676939) + (xy 129.714282 139.620282) + (xy 129.810536 139.494841) + (xy 129.871044 139.348762) + (xy 129.891682 139.192) + (xy 129.871044 139.035238) + (xy 129.810536 138.889159) + (xy 129.773398 138.84076) + (xy 129.714286 138.763722) + (xy 129.714284 138.76372) + (xy 129.714282 138.763719) + (xy 129.714282 138.763718) + (xy 129.640451 138.707065) + (xy 129.613254 138.664373) + (xy 129.6115 138.648357) + (xy 129.6115 138.574222) + (xy 129.611641 138.570995) + (xy 129.615264 138.52959) + (xy 129.604504 138.489436) + (xy 129.603804 138.486281) + (xy 129.602492 138.478841) + (xy 129.596588 138.445351) + (xy 129.594189 138.441196) + (xy 129.586794 138.423341) + (xy 129.585554 138.418713) + (xy 129.585553 138.41871) + (xy 129.561715 138.384666) + (xy 129.559979 138.381942) + (xy 129.559526 138.381158) + (xy 129.539194 138.345941) + (xy 129.539193 138.345939) + (xy 129.507347 138.319217) + (xy 129.504966 138.317036) + (xy 125.973674 134.785744) + (xy 125.952282 134.739868) + (xy 125.952 134.733418) + (xy 125.952 133.315627) + (xy 125.969313 133.268061) + (xy 126.001559 133.24578) + (xy 126.005848 133.244278) + (xy 126.083475 133.217116) + (xy 126.189711 133.138711) + (xy 126.268116 133.032475) + (xy 126.279918 132.998749) + (xy 126.311723 132.907854) + (xy 126.311722 132.907854) + (xy 126.311725 132.907849) + (xy 126.3145 132.878256) + (xy 126.3145 132.696728) + (xy 126.331813 132.649162) + (xy 126.336174 132.644402) + (xy 126.642749 132.337827) + (xy 126.964989 132.015586) + (xy 126.967335 132.013436) + (xy 126.999194 131.986705) + (xy 127.019984 131.950693) + (xy 127.02171 131.947986) + (xy 127.045553 131.913935) + (xy 127.045554 131.913934) + (xy 127.046794 131.909304) + (xy 127.054193 131.891442) + (xy 127.056588 131.887295) + (xy 127.063808 131.846343) + (xy 127.064505 131.843203) + (xy 127.075263 131.803057) + (xy 127.075052 131.80065) + (xy 127.071641 131.761657) + (xy 127.0715 131.758431) + (xy 127.0715 128.031825) + (xy 127.071641 128.028598) + (xy 127.074492 127.996022) + (xy 127.075264 127.987193) + (xy 127.064502 127.947033) + (xy 127.063806 127.943889) + (xy 127.056589 127.902958) + (xy 127.056588 127.902956) + (xy 127.056588 127.902955) + (xy 127.054186 127.898795) + (xy 127.046795 127.880949) + (xy 127.045554 127.876316) + (xy 127.021715 127.84227) + (xy 127.019979 127.839546) + (xy 126.999193 127.803543) + (xy 126.967347 127.776821) + (xy 126.964966 127.77464) + (xy 126.344575 127.154249) + (xy 126.323183 127.108373) + (xy 126.323533 127.092269) + (xy 126.335682 127) + (xy 126.315044 126.843238) + (xy 126.254536 126.697159) + (xy 126.184829 126.606315) + (xy 126.158284 126.57172) + (xy 126.158279 126.571715) + (xy 126.032841 126.475464) + (xy 125.886761 126.414955) + (xy 125.748784 126.396791) + (xy 125.73 126.394318) + (xy 125.729999 126.394318) + (xy 125.729996 126.394318) + (xy 125.711206 126.396791) + (xy 125.661787 126.385833) + (xy 125.630974 126.345673) + (xy 125.633184 126.295103) + (xy 125.64922 126.271102) + (xy 125.82975 126.090572) + (xy 125.875625 126.069181) + (xy 125.89173 126.069531) + (xy 125.984 126.08168) + (xy 126.140762 126.061042) + (xy 126.286841 126.000534) + (xy 126.412282 125.90428) + (xy 126.508536 125.778839) + (xy 126.569044 125.63276) + (xy 126.589682 125.475998) + (xy 126.569044 125.319236) + (xy 126.508536 125.173157) + (xy 126.412282 125.047716) + (xy 126.412279 125.047713) + (xy 126.286841 124.951462) + (xy 126.140761 124.890953) + (xy 125.984 124.870316) + (xy 125.827239 124.890953) + (xy 125.827238 124.890953) + (xy 125.681158 124.951462) + (xy 125.55572 125.047713) + (xy 125.555715 125.047718) + (xy 125.459464 125.173156) + (xy 125.398955 125.319236) + (xy 125.398955 125.319237) + (xy 125.378318 125.475998) + (xy 125.390464 125.568263) + (xy 125.379508 125.617682) + (xy 125.369423 125.630247) + (xy 124.49503 126.504639) + (xy 124.49265 126.50682) + (xy 124.460808 126.53354) + (xy 124.460805 126.533543) + (xy 124.440019 126.569544) + (xy 124.438285 126.572266) + (xy 124.414447 126.60631) + (xy 124.414445 126.606315) + (xy 124.413201 126.610957) + (xy 124.405815 126.628788) + (xy 124.403412 126.632949) + (xy 124.403412 126.63295) + (xy 124.396193 126.673882) + (xy 124.395495 126.677031) + (xy 124.384735 126.71719) + (xy 124.384735 126.717192) + (xy 124.388359 126.758596) + (xy 124.3885 126.761823) + (xy 124.3885 130.38426) + (xy 124.371187 130.431826) + (xy 124.32735 130.457136) + (xy 124.2775 130.448346) + (xy 124.27056 130.443802) + (xy 124.258474 130.434883) + (xy 124.176559 130.406219) + (xy 124.13738 130.374167) + (xy 124.127 130.336372) + (xy 124.127 129.913325) + (xy 124.127141 129.910098) + (xy 124.130764 129.868693) + (xy 124.120005 129.828543) + (xy 124.119306 129.825389) + (xy 124.112089 129.784458) + (xy 124.112088 129.784456) + (xy 124.112088 129.784455) + (xy 124.109686 129.780295) + (xy 124.102295 129.762449) + (xy 124.101054 129.757816) + (xy 124.077215 129.72377) + (xy 124.075479 129.721046) + (xy 124.054693 129.685043) + (xy 124.022847 129.658321) + (xy 124.020466 129.65614) + (xy 122.415357 128.051031) + (xy 122.413176 128.048651) + (xy 122.399057 128.031825) + (xy 122.386455 128.016806) + (xy 122.369088 128.006778) + (xy 122.350458 127.996022) + (xy 122.347736 127.994288) + (xy 122.313685 127.970446) + (xy 122.313682 127.970445) + (xy 122.309047 127.969203) + (xy 122.2912 127.961811) + (xy 122.287044 127.959411) + (xy 122.246114 127.952193) + (xy 122.242964 127.951495) + (xy 122.202806 127.940735) + (xy 122.202805 127.940735) + (xy 122.169818 127.943622) + (xy 122.161394 127.944359) + (xy 122.158174 127.9445) + (xy 117.871815 127.9445) + (xy 117.868594 127.944359) + (xy 117.859674 127.943578) + (xy 117.827194 127.940736) + (xy 117.787044 127.951494) + (xy 117.783894 127.952192) + (xy 117.742953 127.959412) + (xy 117.742951 127.959412) + (xy 117.73879 127.961815) + (xy 117.720959 127.969201) + (xy 117.716317 127.970445) + (xy 117.716312 127.970447) + (xy 117.682265 127.994287) + (xy 117.679544 127.996021) + (xy 117.643549 128.016803) + (xy 117.643543 128.016808) + (xy 117.616832 128.048639) + (xy 117.614651 128.05102) + (xy 115.23803 130.427641) + (xy 115.23565 130.429822) + (xy 115.203808 130.456542) + (xy 115.203805 130.456545) + (xy 115.183019 130.492546) + (xy 115.181285 130.495268) + (xy 115.157447 130.529312) + (xy 115.157445 130.529317) + (xy 115.156201 130.533959) + (xy 115.148815 130.55179) + (xy 115.146412 130.555951) + (xy 115.146412 130.555952) + (xy 115.139193 130.596884) + (xy 115.138495 130.600033) + (xy 115.127735 130.640192) + (xy 115.127735 130.640194) + (xy 115.131359 130.681598) + (xy 115.1315 130.684825) + (xy 115.1315 130.83125) + (xy 115.114187 130.878816) + (xy 115.081941 130.901097) + (xy 114.962526 130.942882) + (xy 114.85629 131.021288) + (xy 114.856288 131.02129) + (xy 114.797092 131.101499) + (xy 114.754917 131.12949) + (xy 114.704616 131.123822) + (xy 114.692504 131.116264) + (xy 114.602841 131.047464) + (xy 114.456761 130.986955) + (xy 114.3 130.966318) + (xy 114.143239 130.986955) + (xy 114.143238 130.986955) + (xy 113.997158 131.047464) + (xy 113.87172 131.143715) + (xy 113.871715 131.14372) + (xy 113.775464 131.269158) + (xy 113.714955 131.415238) + (xy 113.714955 131.415239) + (xy 113.694318 131.572) + (xy 113.714955 131.72876) + (xy 113.714955 131.728761) + (xy 113.775464 131.874841) + (xy 113.871715 132.000279) + (xy 113.87172 132.000284) + (xy 113.919948 132.03729) + (xy 113.997159 132.096536) + (xy 114.143238 132.157044) + (xy 114.3 132.177682) + (xy 114.333577 132.173261) + (xy 114.382996 132.184217) + (xy 114.413811 132.224375) + (xy 114.411603 132.274946) + (xy 114.380906 132.310323) + (xy 114.301442 132.357318) + (xy 114.185317 132.473443) + (xy 114.101718 132.6148) + (xy 114.0559 132.772506) + (xy 114.053 132.809358) + (xy 114.053 133.15) + (xy 116.027999 133.15) + (xy 116.028 132.809358) + (xy 116.025099 132.772506) + (xy 115.979281 132.6148) + (xy 115.895682 132.473443) + (xy 115.791357 132.369118) + (xy 115.769965 132.323242) + (xy 115.783066 132.274347) + (xy 115.822726 132.246576) + (xy 115.822599 132.246213) + (xy 115.823889 132.245761) + (xy 115.82453 132.245313) + (xy 115.82662 132.244805) + (xy 115.832059 132.242902) + (xy 115.884548 132.224535) + (xy 115.951473 132.201117) + (xy 115.951473 132.201116) + (xy 115.951475 132.201116) + (xy 116.057711 132.122711) + (xy 116.136116 132.016475) + (xy 116.136424 132.015597) + (xy 116.179723 131.891854) + (xy 116.179722 131.891854) + (xy 116.179725 131.891849) + (xy 116.1825 131.862256) + (xy 116.1825 131.281744) + (xy 116.179725 131.252151) + (xy 116.155343 131.182472) + (xy 116.136117 131.127526) + (xy 116.095754 131.072836) + (xy 116.057711 131.021289) + (xy 115.983227 130.966318) + (xy 115.951474 130.942883) + (xy 115.834449 130.901933) + (xy 115.795271 130.869881) + (xy 115.785861 130.820145) + (xy 115.806563 130.779762) + (xy 117.969152 128.617174) + (xy 118.01503 128.595782) + (xy 118.021479 128.5955) + (xy 122.008522 128.5955) + (xy 122.056088 128.612813) + (xy 122.060848 128.617174) + (xy 123.454326 130.010652) + (xy 123.475718 130.056528) + (xy 123.476 130.062978) + (xy 123.476 130.336372) + (xy 123.458687 130.383938) + (xy 123.426441 130.406219) + (xy 123.344526 130.434882) + (xy 123.23829 130.513288) + (xy 123.238288 130.51329) + (xy 123.159882 130.619526) + (xy 123.116276 130.744145) + (xy 123.116275 130.744149) + (xy 123.116275 130.744151) + (xy 123.1135 130.773744) + (xy 123.1135 131.354256) + (xy 123.116275 131.383849) + (xy 123.116275 131.383851) + (xy 123.116276 131.383854) + (xy 123.159882 131.508473) + (xy 123.159916 131.508519) + (xy 123.238289 131.614711) + (xy 123.344525 131.693116) + (xy 123.418288 131.718927) + (xy 123.426441 131.72178) + (xy 123.46562 131.753831) + (xy 123.476 131.791627) + (xy 123.476 131.860372) + (xy 123.458687 131.907938) + (xy 123.426441 131.930219) + (xy 123.344526 131.958882) + (xy 123.23829 132.037288) + (xy 123.238288 132.03729) + (xy 123.159882 132.143526) + (xy 123.116276 132.268145) + (xy 123.116275 132.268149) + (xy 123.116275 132.268151) + (xy 123.1135 132.297744) + (xy 123.1135 132.878256) + (xy 123.116275 132.907849) + (xy 123.116275 132.907851) + (xy 123.116276 132.907854) + (xy 123.159882 133.032473) + (xy 123.174663 133.0525) + (xy 123.238289 133.138711) + (xy 123.344525 133.217116) + (xy 123.418288 133.242927) + (xy 123.426441 133.24578) + (xy 123.46562 133.277831) + (xy 123.476 133.315627) + (xy 123.476 134.482785) + (xy 123.460709 134.527833) + (xy 123.427463 134.57116) + (xy 123.366955 134.717238) + (xy 123.366955 134.717239) + (xy 123.346318 134.874) + (xy 123.366955 135.03076) + (xy 123.366955 135.030761) + (xy 123.427464 135.176841) + (xy 123.523715 135.302279) + (xy 123.52372 135.302284) + (xy 123.573466 135.340455) + (xy 123.649159 135.398536) + (xy 123.795238 135.459044) + (xy 123.952 135.479682) + (xy 124.108762 135.459044) + (xy 124.254841 135.398536) + (xy 124.380282 135.302282) + (xy 124.476536 135.176841) + (xy 124.531157 135.044973) + (xy 124.565353 135.007654) + (xy 124.615539 135.001047) + (xy 124.651849 135.020967) + (xy 127.550034 137.919152) + (xy 127.571426 137.965028) + (xy 127.571708 137.971478) + (xy 127.571708 138.40802) + (xy 127.554395 138.455586) + (xy 127.542756 138.466728) + (xy 127.48672 138.509725) + (xy 127.486715 138.50973) + (xy 127.390464 138.635168) + (xy 127.329955 138.781248) + (xy 127.329955 138.781249) + (xy 127.309318 138.938009) + (xy 127.309318 138.93801) + (xy 127.31151 138.954657) + (xy 127.328846 139.086341) + (xy 127.31789 139.13576) + (xy 127.277731 139.166575) + (xy 127.255479 139.17) + (xy 127.199999 139.17) + (xy 127.2 139.8575) + (xy 127.199999 140.944999) + (xy 127.301882 140.944999) + (xy 127.338021 140.942155) + (xy 127.477061 140.90176) + (xy 127.527569 140.905115) + (xy 127.564104 140.94015) + (xy 127.571707 140.972822) + (xy 127.571707 141.1882) + (xy 127.554394 141.235766) + (xy 127.510557 141.261076) + (xy 127.460707 141.252286) + (xy 127.453777 141.247749) + (xy 127.444475 141.240884) + (xy 127.444472 141.240883) + (xy 127.444471 141.240882) + (xy 127.319854 141.197276) + (xy 127.319855 141.197276) + (xy 127.31985 141.197275) + (xy 127.319849 141.197275) + (xy 127.290256 141.1945) + (xy 126.709744 141.1945) + (xy 126.680151 141.197275) + (xy 126.680149 141.197275) + (xy 126.680145 141.197276) + (xy 126.555526 141.240882) + (xy 126.44929 141.319288) + (xy 126.449288 141.31929) + (xy 126.370883 141.425526) + (xy 126.34222 141.507441) + (xy 126.310169 141.54662) + (xy 126.272373 141.557) + (xy 126.203627 141.557) + (xy 126.156061 141.539687) + (xy 126.13378 141.507441) + (xy 126.128539 141.492464) + (xy 126.105116 141.425525) + (xy 126.026711 141.319289) + (xy 125.972156 141.279026) + (xy 125.920473 141.240882) + (xy 125.795854 141.197276) + (xy 125.795855 141.197276) + (xy 125.79585 141.197275) + (xy 125.795849 141.197275) + (xy 125.766256 141.1945) + (xy 125.185744 141.1945) + (xy 125.156151 141.197275) + (xy 125.156149 141.197275) + (xy 125.156145 141.197276) + (xy 125.031526 141.240882) + (xy 124.92529 141.319288) + (xy 124.925288 141.31929) + (xy 124.846882 141.425526) + (xy 124.803276 141.550145) + (xy 124.803275 141.550149) + (xy 124.803275 141.550151) + (xy 124.800544 141.579281) + (xy 124.8005 141.579749) + (xy 124.8005 141.5985) + (xy 124.783187 141.646066) + (xy 124.73935 141.671376) + (xy 124.7265 141.6725) + (xy 124.3005 141.6725) + (xy 124.252934 141.655187) + (xy 124.227624 141.61135) + (xy 124.2265 141.5985) + (xy 124.226499 141.395869) + (xy 124.226499 141.395868) + (xy 124.210515 141.315505) + (xy 124.192879 141.289112) + (xy 124.180848 141.239945) + (xy 124.19288 141.206887) + (xy 124.210515 141.180495) + (xy 124.2265 141.100133) + (xy 124.226499 140.997153) + (xy 124.243811 140.949588) + (xy 124.256154 140.937913) + (xy 124.290328 140.912331) + (xy 124.290329 140.91233) + (xy 124.372185 140.802983) + (xy 124.372186 140.802982) + (xy 124.419917 140.675009) + (xy 124.422822 140.648) + (xy 123.985034 140.648) + (xy 123.981408 140.647822) + (xy 123.978137 140.6475) + (xy 122.598863 140.6475) + (xy 122.595603 140.647822) + (xy 122.591977 140.648) + (xy 122.154179 140.648) + (xy 122.149514 140.653193) + (xy 122.104849 140.677011) + (xy 122.094463 140.677743) + (xy 121.194231 140.677743) + (xy 121.146665 140.66043) + (xy 121.121355 140.616593) + (xy 121.125864 140.575425) + (xy 121.17522 140.456265) + (xy 121.184027 140.435005) + (xy 121.187147 140.411303) + (xy 121.210519 140.366404) + (xy 121.257285 140.347032) + (xy 121.305561 140.362253) + (xy 121.305562 140.362254) + (xy 121.324081 140.376464) + (xy 121.414271 140.445669) + (xy 121.56035 140.506177) + (xy 121.717112 140.526815) + (xy 121.873874 140.506177) + (xy 122.019953 140.445669) + (xy 122.099076 140.384956) + (xy 122.12731 140.363292) + (xy 122.172358 140.348) + (xy 122.591966 140.348) + (xy 122.595592 140.348178) + (xy 122.598862 140.348499) + (xy 122.598867 140.3485) + (xy 123.978132 140.348499) + (xy 123.978136 140.348499) + (xy 123.981397 140.348178) + (xy 123.985023 140.348) + (xy 124.422821 140.348) + (xy 124.42282 140.347999) + (xy 124.419917 140.32099) + (xy 124.372186 140.193017) + (xy 124.372185 140.193016) + (xy 124.290329 140.08367) + (xy 124.256152 140.058085) + (xy 124.228449 140.015719) + (xy 124.226499 139.998849) + (xy 124.226499 139.895868) + (xy 124.210515 139.815505) + (xy 124.149624 139.724376) + (xy 124.148358 139.72353) + (xy 124.147204 139.721956) + (xy 124.144471 139.719223) + (xy 124.144891 139.718802) + (xy 124.118429 139.682709) + (xy 124.121741 139.632198) + (xy 124.137144 139.60968) + (xy 124.170988 139.575837) + (xy 124.173329 139.573691) + (xy 124.205194 139.546955) + (xy 124.22598 139.51095) + (xy 124.227712 139.508233) + (xy 124.251553 139.474185) + (xy 124.251554 139.474184) + (xy 124.252794 139.469554) + (xy 124.260193 139.451692) + (xy 124.262588 139.447545) + (xy 124.269807 139.4066) + (xy 124.270504 139.40346) + (xy 124.278861 139.372276) + (xy 124.281264 139.363307) + (xy 124.280123 139.350271) + (xy 124.293221 139.301378) + (xy 124.334684 139.272342) + (xy 124.385111 139.27675) + (xy 124.406167 139.291493) + (xy 124.78181 139.667136) + (xy 124.803202 139.713012) + (xy 124.803161 139.726366) + (xy 124.8005 139.754744) + (xy 124.8005 140.360256) + (xy 124.803275 140.389849) + (xy 124.803275 140.389851) + (xy 124.803276 140.389854) + (xy 124.846882 140.514473) + (xy 124.870846 140.546943) + (xy 124.925289 140.620711) + (xy 124.991318 140.669442) + (xy 125.031526 140.699117) + (xy 125.156145 140.742723) + (xy 125.156151 140.742725) + (xy 125.185744 140.7455) + (xy 125.185751 140.7455) + (xy 125.766249 140.7455) + (xy 125.766256 140.7455) + (xy 125.795849 140.742725) + (xy 125.873047 140.715712) + (xy 125.920473 140.699117) + (xy 125.920473 140.699116) + (xy 125.920475 140.699116) + (xy 126.026711 140.620711) + (xy 126.060494 140.574935) + (xy 126.10267 140.546943) + (xy 126.152971 140.552611) + (xy 126.18373 140.581208) + (xy 126.254772 140.701334) + (xy 126.368665 140.815227) + (xy 126.507304 140.897218) + (xy 126.661979 140.942155) + (xy 126.661976 140.942155) + (xy 126.698117 140.944999) + (xy 126.799998 140.944999) + (xy 126.799999 140.944998) + (xy 126.8 140.2575) + (xy 126.799999 139.17) + (xy 126.698118 139.17) + (xy 126.661977 139.172844) + (xy 126.507304 139.217781) + (xy 126.368665 139.299772) + (xy 126.254773 139.413664) + (xy 126.183729 139.533792) + (xy 126.144613 139.565921) + (xy 126.093997 139.56539) + (xy 126.060495 139.540066) + (xy 126.026711 139.494289) + (xy 125.968994 139.451692) + (xy 125.920473 139.415882) + (xy 125.795854 139.372276) + (xy 125.795855 139.372276) + (xy 125.79585 139.372275) + (xy 125.795849 139.372275) + (xy 125.766256 139.3695) + (xy 125.76625 139.3695) + (xy 125.435479 139.3695) + (xy 125.387913 139.352187) + (xy 125.383153 139.347826) + (xy 124.89647 138.861143) + (xy 124.875078 138.815267) + (xy 124.888179 138.766372) + (xy 124.920475 138.740451) + (xy 125.016841 138.700536) + (xy 125.142282 138.604282) + (xy 125.238536 138.478841) + (xy 125.299044 138.332762) + (xy 125.319682 138.176) + (xy 125.299044 138.019238) + (xy 125.238536 137.873159) + (xy 125.164559 137.77675) + (xy 125.142284 137.74772) + (xy 125.142279 137.747715) + (xy 125.016841 137.651464) + (xy 124.870761 137.590955) + (xy 124.734637 137.573034) + (xy 124.714 137.570318) + (xy 124.713999 137.570318) + (xy 124.652298 137.578441) + (xy 124.602879 137.567485) + (xy 124.572064 137.527326) + (xy 124.574272 137.476755) + (xy 124.590313 137.452748) + (xy 124.697227 137.345834) + (xy 124.779218 137.207195) + (xy 124.824155 137.052522) + (xy 124.827 137.016382) + (xy 124.827 136.852) + (xy 123.077001 136.852) + (xy 123.077001 137.016381) + (xy 123.079844 137.052522) + (xy 123.124781 137.207195) + (xy 123.206772 137.345834) + (xy 123.320665 137.459727) + (xy 123.459304 137.541718) + (xy 123.490195 137.550693) + (xy 123.531042 137.58059) + (xy 123.543116 137.629747) + (xy 123.520767 137.675166) + (xy 123.511705 137.68171) + (xy 123.511987 137.682091) + (xy 123.40129 137.763788) + (xy 123.401288 137.76379) + (xy 123.322882 137.870026) + (xy 123.279276 137.994645) + (xy 123.279275 137.994649) + (xy 123.279275 137.994651) + (xy 123.2765 138.024244) + (xy 123.2765 138.729756) + (xy 123.279275 138.759349) + (xy 123.279275 138.759351) + (xy 123.279276 138.759354) + (xy 123.322882 138.883973) + (xy 123.336442 138.902346) + (xy 123.401289 138.990211) + (xy 123.507525 139.068616) + (xy 123.57694 139.092905) + (xy 123.616118 139.124955) + (xy 123.626499 139.16274) + (xy 123.6265 139.169009) + (xy 123.609195 139.216578) + (xy 123.604826 139.221347) + (xy 123.200346 139.625826) + (xy 123.154469 139.647218) + (xy 123.14802 139.6475) + (xy 122.598869 139.6475) + (xy 122.518504 139.663485) + (xy 122.518503 139.663485) + (xy 122.427377 139.724375) + (xy 122.427375 139.724377) + (xy 122.416762 139.74026) + (xy 122.375939 139.77019) + (xy 122.325429 139.766877) + (xy 122.288865 139.731871) + (xy 122.286868 139.727463) + (xy 122.28591 139.725151) + (xy 122.242472 139.620282) + (xy 122.241649 139.618294) + (xy 122.241648 139.618293) + (xy 122.241648 139.618292) + (xy 122.163424 139.516348) + (xy 122.148203 139.468074) + (xy 122.160604 139.43019) + (xy 122.210515 139.355495) + (xy 122.2265 139.275133) + (xy 122.226499 137.895868) + (xy 122.210515 137.815505) + (xy 122.149624 137.724376) + (xy 122.058495 137.663485) + (xy 121.978133 137.6475) + (xy 121.978131 137.6475) + (xy 121.773868 137.6475) + (xy 121.69351 137.663483) + (xy 121.693504 137.663485) + (xy 121.667109 137.681121) + (xy 121.61794 137.693151) + (xy 121.584889 137.681121) + (xy 121.558495 137.663485) + (xy 121.558494 137.663484) + (xy 121.558493 137.663484) + (xy 121.498061 137.651464) + (xy 121.478133 137.6475) + (xy 121.478131 137.6475) + (xy 121.273869 137.6475) + (xy 121.193505 137.663485) + (xy 121.16711 137.681121) + (xy 121.117941 137.693151) + (xy 121.08489 137.681121) + (xy 121.058495 137.663485) + (xy 121.058494 137.663484) + (xy 121.058493 137.663484) + (xy 120.998061 137.651464) + (xy 120.978133 137.6475) + (xy 120.978131 137.6475) + (xy 120.773869 137.6475) + (xy 120.693505 137.663485) + (xy 120.66711 137.681121) + (xy 120.617941 137.693151) + (xy 120.58489 137.681121) + (xy 120.558495 137.663485) + (xy 120.558494 137.663484) + (xy 120.558493 137.663484) + (xy 120.498061 137.651464) + (xy 120.478133 137.6475) + (xy 120.478131 137.6475) + (xy 120.287499 137.6475) + (xy 120.239933 137.630187) + (xy 120.214623 137.58635) + (xy 120.213499 137.5735) + (xy 120.213499 137.540981) + (xy 120.213499 137.452475) + (xy 120.230812 137.404912) + (xy 120.235162 137.400163) + (xy 121.183325 136.452) + (xy 123.077 136.452) + (xy 123.752 136.452) + (xy 123.752 135.7145) + (xy 124.151999 135.7145) + (xy 124.151999 136.451999) + (xy 124.152 136.452) + (xy 124.826999 136.452) + (xy 124.826998 136.287618) + (xy 124.824155 136.251477) + (xy 124.779218 136.096804) + (xy 124.697227 135.958165) + (xy 124.583334 135.844272) + (xy 124.444695 135.762281) + (xy 124.29002 135.717344) + (xy 124.290023 135.717344) + (xy 124.253882 135.7145) + (xy 124.151999 135.7145) + (xy 123.752 135.7145) + (xy 123.650118 135.7145) + (xy 123.613977 135.717344) + (xy 123.459304 135.762281) + (xy 123.320665 135.844272) + (xy 123.206772 135.958165) + (xy 123.124781 136.096804) + (xy 123.079844 136.251477) + (xy 123.077 136.287617) + (xy 123.077 136.452) + (xy 121.183325 136.452) + (xy 121.387489 136.247836) + (xy 121.389835 136.245686) + (xy 121.421694 136.218955) + (xy 121.442481 136.182948) + (xy 121.444211 136.180233) + (xy 121.468053 136.146184) + (xy 121.469294 136.141551) + (xy 121.476688 136.1237) + (xy 121.479088 136.119545) + (xy 121.486309 136.078589) + (xy 121.487006 136.075449) + (xy 121.497763 136.035307) + (xy 121.49414 135.993902) + (xy 121.494 135.990681) + (xy 121.494 135.652256) + (xy 121.509712 135.609086) + (xy 121.509003 135.608612) + (xy 121.510941 135.605711) + (xy 121.511313 135.60469) + (xy 121.51246 135.603437) + (xy 121.51305 135.602552) + (xy 121.513052 135.602552) + (xy 121.557367 135.536231) + (xy 121.569 135.477748) + (xy 121.569 132.238252) + (xy 121.557367 132.179769) + (xy 121.557366 132.179768) + (xy 121.557366 132.179766) + (xy 121.509004 132.107389) + (xy 121.511003 132.106053) + (xy 121.494282 132.070194) + (xy 121.494 132.063744) + (xy 121.494 131.665574) + (xy 121.511313 131.618008) + (xy 121.513707 131.615394) + (xy 121.545733 131.572) + (xy 121.592616 131.508475) + (xy 121.636225 131.383849) + (xy 121.639 131.354256) + (xy 121.639 130.773744) + (xy 121.636225 130.744151) + (xy 121.629973 130.726283) + (xy 121.592617 130.619526) + (xy 121.550173 130.562016) + (xy 121.514211 130.513289) + (xy 121.463635 130.475962) + (xy 121.407973 130.434882) + (xy 121.283354 130.391276) + (xy 121.283355 130.391276) + (xy 121.28335 130.391275) + (xy 121.283349 130.391275) + (xy 121.253756 130.3885) + (xy 120.648244 130.3885) + (xy 120.618651 130.391275) + (xy 120.618649 130.391275) + (xy 120.618645 130.391276) + (xy 120.494026 130.434882) + (xy 120.38779 130.513288) + (xy 120.387788 130.51329) + (xy 120.309382 130.619526) + (xy 120.265776 130.744145) + (xy 120.265775 130.744149) + (xy 120.265775 130.744151) + (xy 120.263 130.773744) + (xy 120.263 131.354256) + (xy 120.265775 131.383849) + (xy 120.265775 131.383851) + (xy 120.265776 131.383854) + (xy 120.309382 131.508473) + (xy 120.309416 131.508519) + (xy 120.387789 131.614711) + (xy 120.456707 131.665574) + (xy 120.494026 131.693117) + (xy 120.618645 131.736723) + (xy 120.618651 131.736725) + (xy 120.648244 131.7395) + (xy 120.769 131.7395) + (xy 120.816566 131.756813) + (xy 120.841876 131.80065) + (xy 120.843 131.8135) + (xy 120.843 132.063744) + (xy 120.827286 132.106915) + (xy 120.827996 132.107389) + (xy 120.826059 132.110287) + (xy 120.825687 132.11131) + (xy 120.824538 132.112564) + (xy 120.779633 132.179766) + (xy 120.77521 132.202005) + (xy 120.768 132.238252) + (xy 120.768 135.477748) + (xy 120.772956 135.502665) + (xy 120.779633 135.536232) + (xy 120.827997 135.608612) + (xy 120.825997 135.609948) + (xy 120.842718 135.645806) + (xy 120.843 135.652256) + (xy 120.843 135.84102) + (xy 120.825687 135.888586) + (xy 120.821326 135.893346) + (xy 119.831826 136.882847) + (xy 119.78595 136.904239) + (xy 119.737055 136.891138) + (xy 119.708021 136.849674) + (xy 119.7055 136.830521) + (xy 119.7055 136.794825) + (xy 119.705641 136.791598) + (xy 119.709264 136.750193) + (xy 119.698505 136.710043) + (xy 119.697806 136.706889) + (xy 119.690589 136.665958) + (xy 119.690588 136.665956) + (xy 119.690588 136.665955) + (xy 119.688186 136.661795) + (xy 119.680795 136.643949) + (xy 119.679554 136.639316) + (xy 119.672168 136.628768) + (xy 119.655715 136.60527) + (xy 119.653979 136.602546) + (xy 119.648618 136.593261) + (xy 119.633194 136.566545) + (xy 119.633193 136.566543) + (xy 119.601347 136.539821) + (xy 119.598966 136.53764) + (xy 119.115673 136.054347) + (xy 119.094281 136.008471) + (xy 119.093999 136.002042) + (xy 119.093999 135.652255) + (xy 119.109712 135.609085) + (xy 119.109003 135.608612) + (xy 119.110939 135.605714) + (xy 119.111312 135.60469) + (xy 119.112462 135.603434) + (xy 119.11305 135.602552) + (xy 119.113052 135.602552) + (xy 119.157367 135.536231) + (xy 119.169 135.477748) + (xy 119.169 134.058) + (xy 119.368501 134.058) + (xy 119.368501 135.489482) + (xy 119.383334 135.583148) + (xy 119.383335 135.583149) + (xy 119.44086 135.696046) + (xy 119.530453 135.785639) + (xy 119.64335 135.843164) + (xy 119.737017 135.857999) + (xy 119.768499 135.857998) + (xy 119.7685 135.857998) + (xy 119.7685 134.058) + (xy 120.1685 134.058) + (xy 120.1685 135.857999) + (xy 120.199981 135.857999) + (xy 120.293648 135.843165) + (xy 120.293649 135.843164) + (xy 120.406546 135.785639) + (xy 120.496139 135.696046) + (xy 120.553664 135.583149) + (xy 120.553664 135.583148) + (xy 120.5685 135.489481) + (xy 120.5685 134.058) + (xy 120.1685 134.058) + (xy 119.7685 134.058) + (xy 119.368501 134.058) + (xy 119.169 134.058) + (xy 119.169 133.658) + (xy 119.3685 133.658) + (xy 119.768499 133.658) + (xy 119.768499 133.657999) + (xy 120.168499 133.657999) + (xy 120.1685 133.658) + (xy 120.568499 133.658) + (xy 120.568499 132.226517) + (xy 120.553665 132.132851) + (xy 120.553664 132.13285) + (xy 120.496139 132.019953) + (xy 120.406546 131.93036) + (xy 120.293649 131.872835) + (xy 120.199982 131.858) + (xy 120.1685 131.858) + (xy 120.168499 133.657999) + (xy 119.768499 133.657999) + (xy 119.7685 131.858) + (xy 119.768499 131.857999) + (xy 119.737019 131.858) + (xy 119.737017 131.858001) + (xy 119.643351 131.872834) + (xy 119.64335 131.872835) + (xy 119.530453 131.93036) + (xy 119.44086 132.019953) + (xy 119.383335 132.13285) + (xy 119.383335 132.132851) + (xy 119.3685 132.226518) + (xy 119.3685 133.658) + (xy 119.169 133.658) + (xy 119.169 132.238252) + (xy 119.157367 132.179769) + (xy 119.157366 132.179768) + (xy 119.157366 132.179766) + (xy 119.109004 132.107389) + (xy 119.111003 132.106053) + (xy 119.094282 132.070194) + (xy 119.094 132.063744) + (xy 119.094 131.8135) + (xy 119.111313 131.765934) + (xy 119.15515 131.740624) + (xy 119.168 131.7395) + (xy 119.428749 131.7395) + (xy 119.428756 131.7395) + (xy 119.458349 131.736725) + (xy 119.574239 131.696173) + (xy 119.582973 131.693117) + (xy 119.582973 131.693116) + (xy 119.582975 131.693116) + (xy 119.689211 131.614711) + (xy 119.767616 131.508475) + (xy 119.811225 131.383849) + (xy 119.814 131.354256) + (xy 119.814 130.773744) + (xy 119.811225 130.744151) + (xy 119.804973 130.726283) + (xy 119.767617 130.619526) + (xy 119.725173 130.562016) + (xy 119.689211 130.513289) + (xy 119.638635 130.475962) + (xy 119.582973 130.434882) + (xy 119.458354 130.391276) + (xy 119.458355 130.391276) + (xy 119.45835 130.391275) + (xy 119.458349 130.391275) + (xy 119.428756 130.3885) + (xy 118.823244 130.3885) + (xy 118.793651 130.391275) + (xy 118.793649 130.391275) + (xy 118.793645 130.391276) + (xy 118.669026 130.434882) + (xy 118.56279 130.513288) + (xy 118.562788 130.51329) + (xy 118.484382 130.619526) + (xy 118.440776 130.744145) + (xy 118.440775 130.744149) + (xy 118.440775 130.744151) + (xy 118.440482 130.747283) + (xy 118.438 130.773749) + (xy 118.438 131.354263) + (xy 118.439752 131.372952) + (xy 118.439794 131.386298) + (xy 118.439235 131.392691) + (xy 118.442859 131.434098) + (xy 118.443 131.437325) + (xy 118.443 132.063744) + (xy 118.427286 132.106915) + (xy 118.427996 132.107389) + (xy 118.426059 132.110287) + (xy 118.425687 132.11131) + (xy 118.424538 132.112564) + (xy 118.379633 132.179766) + (xy 118.37521 132.202005) + (xy 118.368 132.238252) + (xy 118.368 135.477748) + (xy 118.372956 135.502665) + (xy 118.379633 135.536232) + (xy 118.427997 135.608612) + (xy 118.425997 135.609948) + (xy 118.442718 135.645806) + (xy 118.443 135.652256) + (xy 118.443 136.151673) + (xy 118.442859 136.1549) + (xy 118.439235 136.196305) + (xy 118.439235 136.196306) + (xy 118.449995 136.236464) + (xy 118.450693 136.239614) + (xy 118.457911 136.280544) + (xy 118.460311 136.2847) + (xy 118.467703 136.302547) + (xy 118.468945 136.307182) + (xy 118.468946 136.307185) + (xy 118.492788 136.341236) + (xy 118.494522 136.343958) + (xy 118.503312 136.359181) + (xy 118.515306 136.379955) + (xy 118.515308 136.379957) + (xy 118.515307 136.379957) + (xy 118.525593 136.388587) + (xy 118.547158 136.406682) + (xy 118.549527 136.408853) + (xy 119.032826 136.892152) + (xy 119.054218 136.938028) + (xy 119.0545 136.944478) + (xy 119.0545 137.5735) + (xy 119.037187 137.621066) + (xy 118.99335 137.646376) + (xy 118.9805 137.6475) + (xy 118.875154 137.6475) + (xy 118.827588 137.630187) + (xy 118.815913 137.617846) + (xy 118.79033 137.583671) + (xy 118.680983 137.501814) + (xy 118.680982 137.501813) + (xy 118.553009 137.454082) + (xy 118.526 137.451178) + (xy 118.526 137.888966) + (xy 118.525822 137.892592) + (xy 118.5255 137.895862) + (xy 118.5255 139.275131) + (xy 118.525822 139.278386) + (xy 118.526 139.282016) + (xy 118.525999 139.730167) + (xy 118.539228 139.754974) + (xy 118.536279 139.783212) + (xy 118.536973 139.783335) + (xy 118.52863 139.830636) + (xy 118.527932 139.833785) + (xy 118.517172 139.873944) + (xy 118.517172 139.873946) + (xy 118.519091 139.895867) + (xy 118.520694 139.914191) + (xy 118.520796 139.91535) + (xy 118.520937 139.918577) + (xy 118.520937 141.48951) + (xy 118.503624 141.537076) + (xy 118.459787 141.562386) + (xy 118.409937 141.553596) + (xy 118.382851 141.52651) + (xy 118.363193 141.492462) + (xy 118.331347 141.46574) + (xy 118.328966 141.463559) + (xy 117.723173 140.857766) + (xy 117.701781 140.81189) + (xy 117.701499 140.805462) + (xy 117.701499 139.597498) + (xy 117.718812 139.549933) + (xy 117.762649 139.524623) + (xy 117.775487 139.523499) + (xy 117.876846 139.523499) + (xy 117.924411 139.540812) + (xy 117.936085 139.553152) + (xy 117.96167 139.587329) + (xy 118.071016 139.669185) + (xy 118.071017 139.669186) + (xy 118.19899 139.716917) + (xy 118.225999 139.71982) + (xy 118.226 139.282027) + (xy 118.226178 139.278397) + (xy 118.226497 139.275143) + (xy 118.2265 139.275133) + (xy 118.226499 137.895868) + (xy 118.226499 137.895867) + (xy 118.226499 137.895863) + (xy 118.226178 137.892603) + (xy 118.226 137.888977) + (xy 118.226 137.451129) + (xy 118.202233 137.406557) + (xy 118.2015 137.396171) + (xy 118.2015 136.791598) + (xy 118.2015 136.158291) + (xy 118.201638 136.155117) + (xy 118.205263 136.113693) + (xy 118.205263 136.113692) + (xy 118.194507 136.073552) + (xy 118.193808 136.070399) + (xy 118.187619 136.035305) + (xy 118.186588 136.029455) + (xy 118.184183 136.02529) + (xy 118.176794 136.007448) + (xy 118.175553 136.002816) + (xy 118.1517 135.96875) + (xy 118.149981 135.96605) + (xy 118.129194 135.930045) + (xy 118.12037 135.922641) + (xy 118.097353 135.903327) + (xy 118.094973 135.901145) + (xy 117.925174 135.731347) + (xy 117.903782 135.685471) + (xy 117.9035 135.679021) + (xy 117.9035 134.85274) + (xy 117.903499 134.852739) + (xy 117.9035 134.852734) + (xy 117.900646 134.822301) + (xy 117.855793 134.694118) + (xy 117.855792 134.694116) + (xy 117.775153 134.584853) + (xy 117.775146 134.584846) + (xy 117.665883 134.504207) + (xy 117.665881 134.504206) + (xy 117.537704 134.459355) + (xy 117.537705 134.459355) + (xy 117.5377 134.459354) + (xy 117.537699 134.459354) + (xy 117.515946 134.457314) + (xy 117.508089 134.456577) + (xy 117.462347 134.434897) + (xy 117.441243 134.388887) + (xy 117.441 134.3829) + (xy 117.441 134.349099) + (xy 117.458313 134.301533) + (xy 117.50215 134.276223) + (xy 117.508075 134.275424) + (xy 117.537699 134.272646) + (xy 117.665882 134.227793) + (xy 117.77515 134.14715) + (xy 117.855793 134.037882) + (xy 117.900646 133.909699) + (xy 117.9035 133.879266) + (xy 117.9035 132.820734) + (xy 117.900646 132.790301) + (xy 117.855793 132.662118) + (xy 117.855792 132.662116) + (xy 117.775153 132.552853) + (xy 117.775146 132.552846) + (xy 117.665883 132.472207) + (xy 117.665881 132.472206) + (xy 117.582059 132.442875) + (xy 117.54288 132.410824) + (xy 117.5325 132.373028) + (xy 117.5325 132.312749) + (xy 117.549813 132.265183) + (xy 117.582059 132.242902) + (xy 117.600903 132.236308) + (xy 117.701475 132.201116) + (xy 117.807711 132.122711) + (xy 117.886116 132.016475) + (xy 117.886424 132.015597) + (xy 117.929723 131.891854) + (xy 117.929722 131.891854) + (xy 117.929725 131.891849) + (xy 117.9325 131.862256) + (xy 117.9325 131.281744) + (xy 117.929725 131.252151) + (xy 117.905343 131.182472) + (xy 117.886117 131.127526) + (xy 117.845754 131.072836) + (xy 117.807711 131.021289) + (xy 117.749422 130.97827) + (xy 117.701473 130.942882) + (xy 117.576854 130.899276) + (xy 117.576855 130.899276) + (xy 117.57685 130.899275) + (xy 117.576849 130.899275) + (xy 117.547256 130.8965) + (xy 116.866744 130.8965) + (xy 116.837151 130.899275) + (xy 116.837149 130.899275) + (xy 116.837145 130.899276) + (xy 116.712526 130.942882) + (xy 116.60629 131.021288) + (xy 116.606288 131.02129) + (xy 116.527882 131.127526) + (xy 116.484276 131.252145) + (xy 116.484275 131.252149) + (xy 116.484275 131.252151) + (xy 116.4815 131.281744) + (xy 116.4815 131.862256) + (xy 116.484275 131.891849) + (xy 116.484275 131.891851) + (xy 116.484276 131.891854) + (xy 116.527882 132.016473) + (xy 116.555229 132.053527) + (xy 116.606289 132.122711) + (xy 116.712525 132.201116) + (xy 116.778995 132.224375) + (xy 116.831941 132.242902) + (xy 116.87112 132.274953) + (xy 116.8815 132.312749) + (xy 116.8815 132.3505) + (xy 116.864187 132.398066) + (xy 116.82035 132.423376) + (xy 116.8075 132.4245) + (xy 116.723734 132.4245) + (xy 116.693301 132.427354) + (xy 116.693299 132.427354) + (xy 116.693295 132.427355) + (xy 116.565118 132.472206) + (xy 116.565116 132.472207) + (xy 116.455853 132.552846) + (xy 116.455846 132.552853) + (xy 116.375207 132.662116) + (xy 116.375206 132.662118) + (xy 116.330355 132.790295) + (xy 116.330354 132.790299) + (xy 116.330354 132.790301) + (xy 116.3275 132.820734) + (xy 116.3275 133.879266) + (xy 116.330354 133.909699) + (xy 116.330354 133.909701) + (xy 116.330355 133.909704) + (xy 116.375206 134.037881) + (xy 116.375207 134.037883) + (xy 116.455846 134.147146) + (xy 116.455853 134.147153) + (xy 116.565116 134.227792) + (xy 116.565118 134.227793) + (xy 116.620191 134.247064) + (xy 116.693301 134.272646) + (xy 116.72291 134.275422) + (xy 116.768651 134.297101) + (xy 116.789757 134.343109) + (xy 116.79 134.349099) + (xy 116.79 134.3829) + (xy 116.772687 134.430466) + (xy 116.72885 134.455776) + (xy 116.722911 134.456577) + (xy 116.715054 134.457314) + (xy 116.693301 134.459354) + (xy 116.693299 134.459354) + (xy 116.693295 134.459355) + (xy 116.565118 134.504206) + (xy 116.565116 134.504207) + (xy 116.455853 134.584846) + (xy 116.455846 134.584853) + (xy 116.375207 134.694116) + (xy 116.375206 134.694118) + (xy 116.330355 134.822295) + (xy 116.330354 134.822299) + (xy 116.330354 134.822301) + (xy 116.3275 134.852734) + (xy 116.3275 135.911266) + (xy 116.330354 135.941699) + (xy 116.330354 135.941701) + (xy 116.330355 135.941704) + (xy 116.375206 136.069881) + (xy 116.375207 136.069883) + (xy 116.455846 136.179146) + (xy 116.455853 136.179153) + (xy 116.565116 136.259792) + (xy 116.565118 136.259793) + (xy 116.693295 136.304644) + (xy 116.693301 136.304646) + (xy 116.723734 136.3075) + (xy 116.723741 136.3075) + (xy 117.4765 136.3075) + (xy 117.524066 136.324813) + (xy 117.549376 136.36865) + (xy 117.5505 136.3815) + (xy 117.5505 137.5735) + (xy 117.533187 137.621066) + (xy 117.48935 137.646376) + (xy 117.4765 137.6475) + (xy 117.273869 137.6475) + (xy 117.193505 137.663485) + (xy 117.16711 137.681121) + (xy 117.117941 137.693151) + (xy 117.08489 137.681121) + (xy 117.058495 137.663485) + (xy 117.058494 137.663484) + (xy 117.058493 137.663484) + (xy 116.998061 137.651464) + (xy 116.978133 137.6475) + (xy 116.978131 137.6475) + (xy 116.773869 137.6475) + (xy 116.693505 137.663485) + (xy 116.66711 137.681121) + (xy 116.617941 137.693151) + (xy 116.58489 137.681121) + (xy 116.558495 137.663485) + (xy 116.558494 137.663484) + (xy 116.558493 137.663484) + (xy 116.498061 137.651464) + (xy 116.478133 137.6475) + (xy 116.478131 137.6475) + (xy 116.273869 137.6475) + (xy 116.193504 137.663485) + (xy 116.193503 137.663485) + (xy 116.102377 137.724375) + (xy 116.102375 137.724377) + (xy 116.041485 137.815504) + (xy 116.041484 137.815505) + (xy 116.041485 137.815505) + (xy 116.026152 137.892592) + (xy 116.0255 137.895868) + (xy 116.0255 138.126546) + (xy 116.008187 138.174112) + (xy 115.96435 138.199422) + (xy 115.9145 138.190632) + (xy 115.906452 138.185254) + (xy 115.872841 138.159464) + (xy 115.726761 138.098955) + (xy 115.57 138.078318) + (xy 115.413239 138.098955) + (xy 115.413238 138.098955) + (xy 115.267158 138.159464) + (xy 115.14172 138.255715) + (xy 115.141715 138.25572) + (xy 115.045464 138.381158) + (xy 114.984955 138.527238) + (xy 114.984955 138.527239) + (xy 114.964318 138.684) + (xy 114.984955 138.84076) + (xy 114.984955 138.840761) + (xy 115.045464 138.986841) + (xy 115.141715 139.112279) + (xy 115.14172 139.112284) + (xy 115.172315 139.13576) + (xy 115.267159 139.208536) + (xy 115.413238 139.269044) + (xy 115.57 139.289682) + (xy 115.726762 139.269044) + (xy 115.872841 139.208536) + (xy 115.906453 139.182744) + (xy 115.954727 139.167523) + (xy 116.001493 139.186894) + (xy 116.024867 139.231793) + (xy 116.0255 139.241451) + (xy 116.0255 139.27513) + (xy 116.041485 139.355495) + (xy 116.041485 139.355496) + (xy 116.080353 139.413664) + (xy 116.102376 139.446624) + (xy 116.193505 139.507515) + (xy 116.273867 139.5235) + (xy 116.478132 139.523499) + (xy 116.558495 139.507515) + (xy 116.584887 139.489879) + (xy 116.634055 139.477848) + (xy 116.667112 139.489879) + (xy 116.693505 139.507515) + (xy 116.773867 139.5235) + (xy 116.976499 139.523499) + (xy 117.024065 139.540811) + (xy 117.049375 139.584649) + (xy 117.050499 139.597499) + (xy 117.050499 140.642836) + (xy 117.033186 140.690402) + (xy 116.989349 140.715712) + (xy 116.939499 140.706922) + (xy 116.924173 140.695162) + (xy 116.008042 139.779031) + (xy 116.005861 139.776651) + (xy 116.000715 139.770518) + (xy 115.97914 139.744806) + (xy 115.961773 139.734778) + (xy 115.943143 139.724022) + (xy 115.940421 139.722288) + (xy 115.90637 139.698446) + (xy 115.906367 139.698445) + (xy 115.901732 139.697203) + (xy 115.883885 139.689811) + (xy 115.879729 139.687411) + (xy 115.838799 139.680193) + (xy 115.835649 139.679495) + (xy 115.795491 139.668735) + (xy 115.79549 139.668735) + (xy 115.772813 139.67072) + (xy 115.738044 139.665369) + (xy 115.733496 139.663485) + (xy 115.706707 139.658156) + (xy 115.653133 139.6475) + (xy 115.653131 139.6475) + (xy 114.273869 139.6475) + (xy 114.193504 139.663485) + (xy 114.193503 139.663485) + (xy 114.102377 139.724375) + (xy 114.102375 139.724377) + (xy 114.050188 139.80248) + (xy 114.009367 139.832411) + (xy 113.958856 139.8291) + (xy 113.936334 139.813693) + (xy 113.123174 139.000533) + (xy 113.101782 138.954657) + (xy 113.1015 138.948207) + (xy 113.1015 135.582) + (xy 114.053 135.582) + (xy 114.053 135.922641) + (xy 114.0559 135.959493) + (xy 114.101718 136.117199) + (xy 114.185317 136.258556) + (xy 114.301443 136.374682) + (xy 114.4428 136.458281) + (xy 114.600508 136.504099) + (xy 114.600505 136.504099) + (xy 114.637359 136.507) + (xy 114.8405 136.507) + (xy 114.8405 135.582) + (xy 115.2405 135.582) + (xy 115.2405 136.507) + (xy 115.443641 136.507) + (xy 115.480493 136.504099) + (xy 115.638199 136.458281) + (xy 115.779556 136.374682) + (xy 115.895682 136.258556) + (xy 115.979281 136.117199) + (xy 116.025099 135.959493) + (xy 116.028 135.922641) + (xy 116.028 135.582) + (xy 115.2405 135.582) + (xy 114.8405 135.582) + (xy 114.053 135.582) + (xy 113.1015 135.582) + (xy 113.1015 133.55) + (xy 114.053 133.55) + (xy 114.053 133.890641) + (xy 114.0559 133.927493) + (xy 114.101718 134.085199) + (xy 114.185317 134.226556) + (xy 114.272434 134.313674) + (xy 114.293826 134.359551) + (xy 114.280725 134.408445) + (xy 114.272434 134.418326) + (xy 114.185317 134.505443) + (xy 114.101718 134.6468) + (xy 114.0559 134.804506) + (xy 114.053 134.841358) + (xy 114.053 135.182) + (xy 114.8405 135.182) + (xy 114.8405 133.55) + (xy 115.2405 133.55) + (xy 115.2405 135.182) + (xy 116.027999 135.182) + (xy 116.028 134.841358) + (xy 116.025099 134.804506) + (xy 115.979281 134.6468) + (xy 115.895682 134.505443) + (xy 115.808565 134.418326) + (xy 115.787173 134.37245) + (xy 115.800274 134.323555) + (xy 115.808565 134.313674) + (xy 115.895682 134.226556) + (xy 115.979281 134.085199) + (xy 116.025099 133.927493) + (xy 116.028 133.890641) + (xy 116.028 133.55) + (xy 115.2405 133.55) + (xy 114.8405 133.55) + (xy 114.053 133.55) + (xy 113.1015 133.55) + (xy 113.1015 130.97827) + (xy 113.118813 130.930704) + (xy 113.123174 130.925944) + (xy 117.463944 126.585174) + (xy 117.50982 126.563782) + (xy 117.51627 126.5635) + (xy 119.10341 126.5635) + (xy 119.10663 126.56364) + (xy 119.132782 126.565928) + (xy 119.148041 126.567264) + (xy 119.148041 126.567263) + (xy 119.148043 126.567264) + (xy 119.188203 126.556502) + (xy 119.191336 126.555807) + (xy 119.232281 126.548588) + (xy 119.23643 126.546191) + (xy 119.254291 126.538794) + (xy 119.25892 126.537554) + (xy 119.292968 126.513712) + (xy 119.295686 126.51198) + (xy 119.331691 126.491194) + (xy 119.358423 126.459334) + (xy 119.360584 126.456976) + (xy 121.340226 124.477335) + (xy 121.386101 124.455944) + (xy 121.434996 124.469045) + (xy 121.460918 124.501344) + (xy 121.519081 124.641763) + (xy 121.615333 124.767202) + (xy 121.615338 124.767207) + (xy 121.657468 124.799534) + (xy 121.740777 124.863459) + (xy 121.886856 124.923967) + (xy 122.043618 124.944605) + (xy 122.20038 124.923967) + (xy 122.346459 124.863459) + (xy 122.4719 124.767205) + (xy 122.500038 124.730535) + (xy 122.528552 124.693375) + (xy 122.571243 124.666177) + (xy 122.58726 124.664423) + (xy 123.803251 124.664423) + (xy 123.806471 124.664563) + (xy 123.832623 124.666851) + (xy 123.847882 124.668187) + (xy 123.847882 124.668186) + (xy 123.847884 124.668187) + (xy 123.888044 124.657425) + (xy 123.891177 124.65673) + (xy 123.932122 124.649511) + (xy 123.936271 124.647114) + (xy 123.954132 124.639717) + (xy 123.958761 124.638477) + (xy 123.992809 124.614635) + (xy 123.995527 124.612903) + (xy 124.031532 124.592117) + (xy 124.058264 124.560257) + (xy 124.060425 124.557899) + (xy 125.835562 122.782762) + (xy 125.881437 122.761371) + (xy 125.930332 122.774472) + (xy 125.932591 122.776118) + (xy 125.982236 122.813765) + (xy 126.114703 122.866003) + (xy 126.189886 122.875031) + (xy 126.197947 122.876) + (xy 126.197948 122.876) + (xy 126.914053 122.876) + (xy 126.921401 122.875117) + (xy 126.997297 122.866003) + (xy 127.129764 122.813765) + (xy 127.243225 122.727725) + (xy 127.329265 122.614264) + (xy 127.381503 122.481797) + (xy 127.3915 122.398553) + (xy 127.7205 122.398553) + (xy 127.721372 122.405811) + (xy 127.730497 122.481797) + (xy 127.760044 122.556723) + (xy 127.782734 122.614263) + (xy 127.796543 122.632472) + (xy 127.868775 122.727725) + (xy 127.982236 122.813765) + (xy 128.114703 122.866003) + (xy 128.189886 122.875031) + (xy 128.197947 122.876) + (xy 128.197948 122.876) + (xy 128.914053 122.876) + (xy 128.921401 122.875117) + (xy 128.997297 122.866003) + (xy 129.129764 122.813765) + (xy 129.243225 122.727725) + (xy 129.329265 122.614264) + (xy 129.381503 122.481797) + (xy 129.381667 122.480426) + (xy 129.382029 122.479711) + (xy 129.382665 122.477198) + (xy 129.383229 122.47734) + (xy 129.404524 122.435266) + (xy 129.451065 122.415361) + (xy 129.499513 122.430029) + (xy 129.527197 122.472407) + (xy 129.528756 122.481728) + (xy 129.531039 122.50408) + (xy 129.583797 122.663292) + (xy 129.671849 122.806048) + (xy 129.790451 122.92465) + (xy 129.933207 123.012702) + (xy 130.092419 123.06546) + (xy 130.190689 123.075499) + (xy 130.356 123.075499) + (xy 130.356 121.4005) + (xy 130.756 121.4005) + (xy 130.756 123.075499) + (xy 130.921307 123.075499) + (xy 130.921312 123.075498) + (xy 131.019579 123.06546) + (xy 131.178792 123.012702) + (xy 131.321548 122.92465) + (xy 131.44015 122.806048) + (xy 131.528202 122.663292) + (xy 131.58096 122.50408) + (xy 131.590999 122.405811) + (xy 131.591 122.405809) + (xy 131.591 121.4005) + (xy 130.756 121.4005) + (xy 130.356 121.4005) + (xy 130.356 119.3255) + (xy 130.756 119.3255) + (xy 130.756 121.0005) + (xy 131.590999 121.0005) + (xy 131.590999 119.995193) + (xy 131.590998 119.995187) + (xy 131.58096 119.89692) + (xy 131.528202 119.737707) + (xy 131.44015 119.594951) + (xy 131.321548 119.476349) + (xy 131.178792 119.388297) + (xy 131.01958 119.335539) + (xy 130.921311 119.3255) + (xy 130.756 119.3255) + (xy 130.356 119.3255) + (xy 130.190693 119.3255) + (xy 130.190687 119.325501) + (xy 130.09242 119.335539) + (xy 129.933207 119.388297) + (xy 129.790451 119.476349) + (xy 129.671849 119.594951) + (xy 129.583797 119.737707) + (xy 129.531039 119.896919) + (xy 129.528756 119.91927) + (xy 129.506698 119.96483) + (xy 129.460515 119.985552) + (xy 129.411817 119.971741) + (xy 129.383389 119.929858) + (xy 129.381667 119.920571) + (xy 129.381503 119.919203) + (xy 129.329265 119.786736) + (xy 129.243225 119.673275) + (xy 129.129764 119.587235) + (xy 129.129763 119.587234) + (xy 129.072223 119.564544) + (xy 128.997297 119.534997) + (xy 128.9418 119.528332) + (xy 128.914053 119.525) + (xy 128.914052 119.525) + (xy 128.197948 119.525) + (xy 128.197947 119.525) + (xy 128.156325 119.529998) + (xy 128.114703 119.534997) + (xy 128.077239 119.54977) + (xy 127.982236 119.587234) + (xy 127.868775 119.673274) + (xy 127.868774 119.673275) + (xy 127.782734 119.786736) + (xy 127.761752 119.839945) + (xy 127.730497 119.919203) + (xy 127.727653 119.942884) + (xy 127.7205 120.002446) + (xy 127.7205 122.398553) + (xy 127.3915 122.398553) + (xy 127.3915 122.398552) + (xy 127.3915 120.002448) + (xy 127.381503 119.919203) + (xy 127.329265 119.786736) + (xy 127.243225 119.673275) + (xy 127.129764 119.587235) + (xy 127.129763 119.587234) + (xy 127.072223 119.564544) + (xy 126.997297 119.534997) + (xy 126.9418 119.528332) + (xy 126.914053 119.525) + (xy 126.914052 119.525) + (xy 126.197948 119.525) + (xy 126.197947 119.525) + (xy 126.156325 119.529998) + (xy 126.114703 119.534997) + (xy 126.077239 119.54977) + (xy 125.982236 119.587234) + (xy 125.868775 119.673274) + (xy 125.868774 119.673275) + (xy 125.782734 119.786736) + (xy 125.730497 119.919204) + (xy 125.720499 120.002446) + (xy 125.720499 121.946522) + (xy 125.703186 121.994088) + (xy 125.698825 121.998848) + (xy 125.517826 122.179847) + (xy 125.47195 122.201239) + (xy 125.423055 122.188138) + (xy 125.394021 122.146674) + (xy 125.3915 122.127521) + (xy 125.3915 120.002446) + (xy 125.386982 119.96483) + (xy 125.381503 119.919203) + (xy 125.329265 119.786736) + (xy 125.243225 119.673275) + (xy 125.129764 119.587235) + (xy 125.129763 119.587234) + (xy 125.072223 119.564544) + (xy 124.997297 119.534997) + (xy 124.9418 119.528332) + (xy 124.914053 119.525) + (xy 124.914052 119.525) + (xy 124.197948 119.525) + (xy 124.197947 119.525) + (xy 124.156325 119.529998) + (xy 124.114703 119.534997) + (xy 124.077239 119.54977) + (xy 123.982236 119.587234) + (xy 123.868775 119.673274) + (xy 123.868774 119.673275) + (xy 123.782734 119.786736) + (xy 123.730497 119.919204) + (xy 123.720499 120.002446) + (xy 123.720499 121.928652) + (xy 123.703186 121.976218) + (xy 123.698825 121.980978) + (xy 123.517825 122.161978) + (xy 123.471949 122.18337) + (xy 123.423054 122.170269) + (xy 123.39402 122.128805) + (xy 123.391499 122.109652) + (xy 123.391499 121.3265) + (xy 123.3915 120.002448) + (xy 123.381503 119.919203) + (xy 123.329265 119.786736) + (xy 123.243225 119.673275) + (xy 123.129764 119.587235) + (xy 123.129763 119.587234) + (xy 123.072223 119.564544) + (xy 122.997297 119.534997) + (xy 122.9418 119.528332) + (xy 122.914053 119.525) + (xy 122.914052 119.525) + (xy 122.197948 119.525) + (xy 122.197947 119.525) + (xy 122.156325 119.529998) + (xy 122.114703 119.534997) + (xy 122.077239 119.54977) + (xy 121.982236 119.587234) + (xy 121.868775 119.673274) + (xy 121.868774 119.673275) + (xy 121.782734 119.786736) + (xy 121.761752 119.839945) + (xy 121.730497 119.919203) + (xy 121.727653 119.942884) + (xy 121.7205 120.002446) + (xy 121.7205 121.928652) + (xy 121.703187 121.976218) + (xy 121.698826 121.980978) + (xy 121.517826 122.161979) + (xy 121.47195 122.183371) + (xy 121.423056 122.17027) + (xy 121.394022 122.128806) + (xy 121.3915 122.109653) + (xy 121.3915 120.002446) + (xy 121.386982 119.96483) + (xy 121.381503 119.919203) + (xy 121.329265 119.786736) + (xy 121.243225 119.673275) + (xy 121.129764 119.587235) + (xy 121.129763 119.587234) + (xy 121.072223 119.564544) + (xy 120.997297 119.534997) + (xy 120.9418 119.528332) + (xy 120.914053 119.525) + (xy 120.914052 119.525) + (xy 120.197948 119.525) + (xy 120.197947 119.525) + (xy 120.156325 119.529998) + (xy 120.114703 119.534997) + (xy 120.077239 119.54977) + (xy 119.982236 119.587234) + (xy 119.868775 119.673274) + (xy 119.868774 119.673275) + (xy 119.782734 119.786736) + (xy 119.730497 119.919204) + (xy 119.720499 120.002446) + (xy 119.720499 121.928653) + (xy 119.703186 121.976219) + (xy 119.698825 121.980979) + (xy 119.517825 122.161978) + (xy 119.471948 122.18337) + (xy 119.423054 122.170269) + (xy 119.39402 122.128804) + (xy 119.391499 122.109659) + (xy 119.3915 120.002448) + (xy 119.381503 119.919203) + (xy 119.329265 119.786736) + (xy 119.243225 119.673275) + (xy 119.129764 119.587235) + (xy 119.129763 119.587234) + (xy 119.072223 119.564544) + (xy 118.997297 119.534997) + (xy 118.9418 119.528332) + (xy 118.914053 119.525) + (xy 118.914052 119.525) + (xy 118.197948 119.525) + (xy 118.197947 119.525) + (xy 118.156325 119.529998) + (xy 118.114703 119.534997) + (xy 118.077239 119.54977) + (xy 117.982236 119.587234) + (xy 117.868775 119.673274) + (xy 117.868774 119.673275) + (xy 117.782734 119.786736) + (xy 117.730496 119.919204) + (xy 117.730496 119.919207) + (xy 117.730331 119.920582) + (xy 117.729967 119.9213) + (xy 117.729335 119.923802) + (xy 117.728772 119.923659) + (xy 117.707465 119.965742) + (xy 117.66092 119.985639) + (xy 117.612476 119.970962) + (xy 117.584799 119.928579) + (xy 117.583243 119.919268) + (xy 117.58096 119.89692) + (xy 117.528202 119.737707) + (xy 117.44015 119.594951) + (xy 117.321548 119.476349) + (xy 117.178792 119.388297) + (xy 117.01958 119.335539) + (xy 116.921311 119.3255) + (xy 116.756 119.3255) + (xy 116.756 121.3265) + (xy 116.738687 121.374066) + (xy 116.69485 121.399376) + (xy 116.682 121.4005) + (xy 115.521001 121.4005) + (xy 115.521001 122.405812) + (xy 115.531039 122.504079) + (xy 115.583797 122.663292) + (xy 115.671849 122.806048) + (xy 115.790451 122.92465) + (xy 115.933207 123.012702) + (xy 116.092419 123.06546) + (xy 116.190689 123.075499) + (xy 116.425653 123.075499) + (xy 116.473219 123.092812) + (xy 116.498529 123.136649) + (xy 116.489739 123.186499) + (xy 116.477979 123.201825) + (xy 110.01703 129.662773) + (xy 110.01465 129.664954) + (xy 109.982808 129.691674) + (xy 109.982805 129.691677) + (xy 109.962019 129.727678) + (xy 109.960285 129.7304) + (xy 109.936447 129.764444) + (xy 109.936445 129.764449) + (xy 109.935201 129.769091) + (xy 109.927815 129.786922) + (xy 109.925412 129.791083) + (xy 109.925412 129.791084) + (xy 109.918193 129.832016) + (xy 109.917495 129.835166) + (xy 109.906735 129.875324) + (xy 109.910358 129.91674) + (xy 109.910499 129.919966) + (xy 109.9105 142.436606) + (xy 109.9105 143.699971) + (xy 109.893187 143.747537) + (xy 109.84935 143.772847) + (xy 109.7995 143.764057) + (xy 109.791453 143.75868) + (xy 109.776835 143.747464) + (xy 109.630755 143.686955) + (xy 109.483025 143.667507) + (xy 109.473994 143.666318) + (xy 109.473993 143.666318) + (xy 109.381727 143.678464) + (xy 109.332308 143.667507) + (xy 109.319743 143.657423) + (xy 109.089847 143.427527) + (xy 109.068455 143.381651) + (xy 109.081556 143.332756) + (xy 109.113851 143.306836) + (xy 109.254281 143.248669) + (xy 109.379722 143.152415) + (xy 109.475976 143.026974) + (xy 109.536484 142.880895) + (xy 109.557122 142.724133) + (xy 109.544974 142.631866) + (xy 109.55593 142.582448) + (xy 109.56601 142.569887) + (xy 109.597983 142.537914) + (xy 109.600329 142.535764) + (xy 109.632194 142.509028) + (xy 109.65298 142.473023) + (xy 109.654712 142.470306) + (xy 109.671935 142.445709) + (xy 109.678554 142.436257) + (xy 109.679794 142.431627) + (xy 109.687193 142.413765) + (xy 109.689588 142.409618) + (xy 109.696808 142.368666) + (xy 109.697505 142.365526) + (xy 109.699254 142.359) + (xy 109.708263 142.32538) + (xy 109.707767 142.319715) + (xy 109.704641 142.28398) + (xy 109.7045 142.280754) + (xy 109.7045 129.038477) + (xy 109.721813 128.990911) + (xy 109.726163 128.986162) + (xy 113.89175 124.820574) + (xy 113.937625 124.799183) + (xy 113.95373 124.799533) + (xy 114.046 124.811682) + (xy 114.202762 124.791044) + (xy 114.348841 124.730536) + (xy 114.474282 124.634282) + (xy 114.570536 124.508841) + (xy 114.631044 124.362762) + (xy 114.651682 124.206) + (xy 114.631044 124.049238) + (xy 114.570536 123.903159) + (xy 114.474282 123.777718) + (xy 114.474279 123.777715) + (xy 114.348841 123.681464) + (xy 114.202761 123.620955) + (xy 114.046 123.600318) + (xy 113.889239 123.620955) + (xy 113.889238 123.620955) + (xy 113.743158 123.681464) + (xy 113.61772 123.777715) + (xy 113.617715 123.77772) + (xy 113.521464 123.903158) + (xy 113.460955 124.049238) + (xy 113.460955 124.049239) + (xy 113.440318 124.206) + (xy 113.452464 124.298265) + (xy 113.441508 124.347684) + (xy 113.431423 124.360249) + (xy 109.16003 128.631641) + (xy 109.15765 128.633822) + (xy 109.125808 128.660542) + (xy 109.125805 128.660545) + (xy 109.105019 128.696546) + (xy 109.103285 128.699268) + (xy 109.079447 128.733312) + (xy 109.079445 128.733317) + (xy 109.078201 128.737959) + (xy 109.070815 128.75579) + (xy 109.068412 128.759951) + (xy 109.068412 128.759952) + (xy 109.061193 128.800884) + (xy 109.060495 128.804033) + (xy 109.049735 128.844192) + (xy 109.049735 128.844194) + (xy 109.053359 128.885598) + (xy 109.0535 128.888825) + (xy 109.0535 142.047506) + (xy 109.036187 142.095072) + (xy 108.99235 142.120382) + (xy 108.969843 142.120873) + (xy 108.951442 142.118451) + (xy 108.951441 142.118451) + (xy 108.95144 142.118451) + (xy 108.936773 142.120382) + (xy 108.794679 142.139088) + (xy 108.794678 142.139088) + (xy 108.648597 142.199597) + (xy 108.648541 142.199641) + (xy 108.648499 142.199654) + (xy 108.6444 142.202021) + (xy 108.643874 142.201111) + (xy 108.600263 142.214856) + (xy 108.553499 142.195479) + (xy 108.530132 142.150576) + (xy 108.5295 142.140927) + (xy 108.5295 121.0005) + (xy 115.521 121.0005) + (xy 116.356 121.0005) + (xy 116.356 119.3255) + (xy 116.190693 119.3255) + (xy 116.190687 119.325501) + (xy 116.09242 119.335539) + (xy 115.933207 119.388297) + (xy 115.790451 119.476349) + (xy 115.671849 119.594951) + (xy 115.583797 119.737707) + (xy 115.531039 119.896919) + (xy 115.521 119.995188) + (xy 115.521 121.0005) + (xy 108.5295 121.0005) + (xy 108.5295 112.179478) + (xy 108.546813 112.131912) + (xy 108.551174 112.127152) + (xy 115.594174 105.084152) + (xy 115.64005 105.06276) + (xy 115.688945 105.075861) + (xy 115.717979 105.117325) + (xy 115.7205 105.136478) + (xy 115.7205 107.348552) + (xy 115.730497 107.431797) + (xy 115.760044 107.506723) + (xy 115.782734 107.564263) + (xy 115.782735 107.564264) + (xy 115.868775 107.677725) + (xy 115.982236 107.763765) + (xy 116.114703 107.816003) + (xy 116.189886 107.825031) + (xy 116.197947 107.826) + (xy 116.197948 107.826) + (xy 116.914053 107.826) + (xy 116.921401 107.825117) + (xy 116.997297 107.816003) + (xy 117.129764 107.763765) + (xy 117.243225 107.677725) + (xy 117.329265 107.564264) + (xy 117.381503 107.431797) + (xy 117.3915 107.348552) + (xy 117.7205 107.348552) + (xy 117.730497 107.431797) + (xy 117.760044 107.506723) + (xy 117.782734 107.564263) + (xy 117.782735 107.564264) + (xy 117.868775 107.677725) + (xy 117.982236 107.763765) + (xy 118.114703 107.816003) + (xy 118.189886 107.825031) + (xy 118.197947 107.826) + (xy 118.197948 107.826) + (xy 118.914053 107.826) + (xy 118.921401 107.825117) + (xy 118.997297 107.816003) + (xy 119.129764 107.763765) + (xy 119.243225 107.677725) + (xy 119.329265 107.564264) + (xy 119.381503 107.431797) + (xy 119.3915 107.348552) + (xy 119.3915 104.952448) + (xy 119.381503 104.869203) + (xy 119.329265 104.736736) + (xy 119.243225 104.623275) + (xy 119.132125 104.539025) + (xy 119.129763 104.537234) + (xy 119.070121 104.513715) + (xy 118.997297 104.484997) + (xy 118.941706 104.478321) + (xy 118.914053 104.475) + (xy 118.914052 104.475) + (xy 118.197948 104.475) + (xy 118.197947 104.475) + (xy 118.170294 104.478321) + (xy 118.114703 104.484997) + (xy 118.077239 104.49977) + (xy 117.982236 104.537234) + (xy 117.868775 104.623274) + (xy 117.868774 104.623275) + (xy 117.782734 104.736736) + (xy 117.761444 104.790726) + (xy 117.730497 104.869203) + (xy 117.7205 104.952448) + (xy 117.7205 107.348552) + (xy 117.3915 107.348552) + (xy 117.3915 104.952448) + (xy 117.381503 104.869203) + (xy 117.329265 104.736736) + (xy 117.243225 104.623275) + (xy 117.132125 104.539025) + (xy 117.129763 104.537234) + (xy 117.070121 104.513715) + (xy 116.997297 104.484997) + (xy 116.941706 104.478321) + (xy 116.914053 104.475) + (xy 116.914052 104.475) + (xy 116.381979 104.475) + (xy 116.334413 104.457687) + (xy 116.309103 104.41385) + (xy 116.317893 104.364) + (xy 116.329653 104.348674) + (xy 116.953153 103.725174) + (xy 116.999029 103.703782) + (xy 117.005479 103.7035) + (xy 118.706522 103.7035) + (xy 118.754088 103.720813) + (xy 118.758848 103.725174) + (xy 119.744928 104.711254) + (xy 119.76632 104.75713) + (xy 119.761443 104.790726) + (xy 119.730497 104.869201) + (xy 119.730497 104.869203) + (xy 119.724188 104.921741) + (xy 119.7205 104.952448) + (xy 119.7205 107.348552) + (xy 119.730497 107.431797) + (xy 119.760044 107.506723) + (xy 119.782734 107.564263) + (xy 119.782735 107.564264) + (xy 119.868775 107.677725) + (xy 119.982236 107.763765) + (xy 120.114703 107.816003) + (xy 120.189886 107.825031) + (xy 120.197947 107.826) + (xy 120.197948 107.826) + (xy 120.914053 107.826) + (xy 120.921401 107.825117) + (xy 120.997297 107.816003) + (xy 121.129764 107.763765) + (xy 121.243225 107.677725) + (xy 121.329265 107.564264) + (xy 121.381503 107.431797) + (xy 121.3915 107.348552) + (xy 121.7205 107.348552) + (xy 121.730497 107.431797) + (xy 121.760044 107.506723) + (xy 121.782734 107.564263) + (xy 121.782735 107.564264) + (xy 121.868775 107.677725) + (xy 121.982236 107.763765) + (xy 122.114703 107.816003) + (xy 122.189886 107.825031) + (xy 122.197947 107.826) + (xy 122.197948 107.826) + (xy 122.914053 107.826) + (xy 122.921401 107.825117) + (xy 122.997297 107.816003) + (xy 123.129764 107.763765) + (xy 123.243225 107.677725) + (xy 123.329265 107.564264) + (xy 123.381503 107.431797) + (xy 123.3915 107.348552) + (xy 123.7205 107.348552) + (xy 123.730497 107.431797) + (xy 123.760044 107.506723) + (xy 123.782734 107.564263) + (xy 123.782735 107.564264) + (xy 123.868775 107.677725) + (xy 123.982236 107.763765) + (xy 124.114703 107.816003) + (xy 124.189886 107.825031) + (xy 124.197947 107.826) + (xy 124.197948 107.826) + (xy 124.914053 107.826) + (xy 124.921401 107.825117) + (xy 124.997297 107.816003) + (xy 125.129764 107.763765) + (xy 125.243225 107.677725) + (xy 125.329265 107.564264) + (xy 125.381503 107.431797) + (xy 125.3915 107.348552) + (xy 125.7205 107.348552) + (xy 125.730497 107.431797) + (xy 125.760044 107.506723) + (xy 125.782734 107.564263) + (xy 125.782735 107.564264) + (xy 125.868775 107.677725) + (xy 125.982236 107.763765) + (xy 126.114703 107.816003) + (xy 126.189886 107.825031) + (xy 126.197947 107.826) + (xy 126.197948 107.826) + (xy 126.914053 107.826) + (xy 126.921401 107.825117) + (xy 126.997297 107.816003) + (xy 127.129764 107.763765) + (xy 127.243225 107.677725) + (xy 127.329265 107.564264) + (xy 127.381503 107.431797) + (xy 127.381667 107.430426) + (xy 127.382029 107.429711) + (xy 127.382665 107.427198) + (xy 127.383229 107.42734) + (xy 127.404524 107.385266) + (xy 127.451065 107.365361) + (xy 127.499513 107.380029) + (xy 127.527197 107.422407) + (xy 127.528756 107.431728) + (xy 127.531039 107.45408) + (xy 127.583797 107.613292) + (xy 127.671849 107.756048) + (xy 127.790451 107.87465) + (xy 127.933207 107.962702) + (xy 128.092419 108.01546) + (xy 128.190689 108.025499) + (xy 128.355998 108.025499) + (xy 128.355999 108.025498) + (xy 128.356 106.3505) + (xy 128.355999 104.2755) + (xy 128.190693 104.2755) + (xy 128.190687 104.275501) + (xy 128.09242 104.285539) + (xy 127.933207 104.338297) + (xy 127.790451 104.426349) + (xy 127.671849 104.544951) + (xy 127.583797 104.687707) + (xy 127.531039 104.846919) + (xy 127.528756 104.86927) + (xy 127.506698 104.91483) + (xy 127.460515 104.935552) + (xy 127.411817 104.921741) + (xy 127.383389 104.879858) + (xy 127.381667 104.870571) + (xy 127.381503 104.869203) + (xy 127.329265 104.736736) + (xy 127.243225 104.623275) + (xy 127.132125 104.539025) + (xy 127.129763 104.537234) + (xy 127.070121 104.513715) + (xy 126.997297 104.484997) + (xy 126.941706 104.478321) + (xy 126.914053 104.475) + (xy 126.914052 104.475) + (xy 126.197948 104.475) + (xy 126.197947 104.475) + (xy 126.170294 104.478321) + (xy 126.114703 104.484997) + (xy 126.077239 104.49977) + (xy 125.982236 104.537234) + (xy 125.868775 104.623274) + (xy 125.868774 104.623275) + (xy 125.782734 104.736736) + (xy 125.761444 104.790726) + (xy 125.730497 104.869203) + (xy 125.7205 104.952448) + (xy 125.7205 107.348552) + (xy 125.3915 107.348552) + (xy 125.3915 104.952448) + (xy 125.381503 104.869203) + (xy 125.329265 104.736736) + (xy 125.243225 104.623275) + (xy 125.132125 104.539025) + (xy 125.129763 104.537234) + (xy 125.070121 104.513715) + (xy 124.997297 104.484997) + (xy 124.941706 104.478321) + (xy 124.914053 104.475) + (xy 124.914052 104.475) + (xy 124.197948 104.475) + (xy 124.197947 104.475) + (xy 124.170294 104.478321) + (xy 124.114703 104.484997) + (xy 124.077239 104.49977) + (xy 123.982236 104.537234) + (xy 123.868775 104.623274) + (xy 123.868774 104.623275) + (xy 123.782734 104.736736) + (xy 123.761444 104.790726) + (xy 123.730497 104.869203) + (xy 123.7205 104.952448) + (xy 123.7205 107.348552) + (xy 123.3915 107.348552) + (xy 123.3915 104.952448) + (xy 123.381503 104.869203) + (xy 123.329265 104.736736) + (xy 123.243225 104.623275) + (xy 123.132125 104.539025) + (xy 123.129763 104.537234) + (xy 123.049534 104.505596) + (xy 122.997297 104.484997) + (xy 122.990214 104.484146) + (xy 122.946675 104.478917) + (xy 122.901513 104.456055) + (xy 122.881612 104.409512) + (xy 122.8815 104.405445) + (xy 122.8815 104.102) + (xy 122.898813 104.054434) + (xy 122.94265 104.029124) + (xy 122.9555 104.028) + (xy 123.052258 104.028) + (xy 123.052266 104.028) + (xy 123.082699 104.025146) + (xy 123.210882 103.980293) + (xy 123.32015 103.89965) + (xy 123.400793 103.790382) + (xy 123.445646 103.662199) + (xy 123.4485 103.631766) + (xy 123.4485 103.3025) + (xy 123.748 103.3025) + (xy 123.748 103.643141) + (xy 123.7509 103.679993) + (xy 123.796718 103.837699) + (xy 123.880317 103.979056) + (xy 123.996443 104.095182) + (xy 124.1378 104.178781) + (xy 124.295508 104.224599) + (xy 124.295505 104.224599) + (xy 124.332359 104.2275) + (xy 124.5355 104.2275) + (xy 124.5355 103.3025) + (xy 124.9355 103.3025) + (xy 124.9355 104.2275) + (xy 125.138641 104.2275) + (xy 125.175493 104.224599) + (xy 125.333199 104.178781) + (xy 125.474556 104.095182) + (xy 125.590682 103.979056) + (xy 125.674281 103.837699) + (xy 125.720099 103.679993) + (xy 125.723 103.643141) + (xy 125.723 103.3025) + (xy 124.9355 103.3025) + (xy 124.5355 103.3025) + (xy 123.748 103.3025) + (xy 123.4485 103.3025) + (xy 123.4485 102.9025) + (xy 123.748 102.9025) + (xy 124.5355 102.9025) + (xy 124.5355 101.9775) + (xy 124.9355 101.9775) + (xy 124.9355 102.9025) + (xy 125.723 102.9025) + (xy 125.723 102.561858) + (xy 125.720099 102.525006) + (xy 125.674281 102.3673) + (xy 125.590682 102.225943) + (xy 125.474556 102.109817) + (xy 125.333199 102.026218) + (xy 125.175491 101.9804) + (xy 125.175494 101.9804) + (xy 125.138641 101.9775) + (xy 124.9355 101.9775) + (xy 124.5355 101.9775) + (xy 124.332359 101.9775) + (xy 124.295506 101.9804) + (xy 124.1378 102.026218) + (xy 123.996443 102.109817) + (xy 123.880317 102.225943) + (xy 123.796718 102.3673) + (xy 123.7509 102.525006) + (xy 123.748 102.561858) + (xy 123.748 102.9025) + (xy 123.4485 102.9025) + (xy 123.4485 102.573234) + (xy 123.445646 102.542801) + (xy 123.400793 102.414618) + (xy 123.400792 102.414616) + (xy 123.320153 102.305353) + (xy 123.320146 102.305346) + (xy 123.210883 102.224707) + (xy 123.210881 102.224706) + (xy 123.082704 102.179855) + (xy 123.082705 102.179855) + (xy 123.0827 102.179854) + (xy 123.082699 102.179854) + (xy 123.06175 102.177889) + (xy 123.053089 102.177077) + (xy 123.007347 102.155397) + (xy 122.986243 102.109387) + (xy 122.986 102.1034) + (xy 122.986 102.023434) + (xy 123.003313 101.975868) + (xy 123.030889 101.956813) + (xy 123.03057 101.956209) + (xy 123.035472 101.953617) + (xy 123.035471 101.953617) + (xy 123.035475 101.953616) + (xy 123.141711 101.875211) + (xy 123.188731 101.8115) + (xy 128.156001 101.8115) + (xy 128.156001 102.742982) + (xy 128.170834 102.836648) + (xy 128.170835 102.836649) + (xy 128.22836 102.949546) + (xy 128.317953 103.039139) + (xy 128.43085 103.096664) + (xy 128.524518 103.111499) + (xy 128.881 103.111499) + (xy 128.881 101.8115) + (xy 128.156001 101.8115) + (xy 123.188731 101.8115) + (xy 123.220116 101.768975) + (xy 123.228607 101.744711) + (xy 123.261134 101.651754) + (xy 123.263725 101.644349) + (xy 123.2665 101.614756) + (xy 123.2665 101.4115) + (xy 128.156 101.4115) + (xy 128.881 101.4115) + (xy 128.881 100.1115) + (xy 128.524518 100.1115) + (xy 128.430851 100.126334) + (xy 128.43085 100.126335) + (xy 128.317953 100.18386) + (xy 128.22836 100.273453) + (xy 128.170835 100.38635) + (xy 128.170835 100.386351) + (xy 128.156 100.480018) + (xy 128.156 101.4115) + (xy 123.2665 101.4115) + (xy 123.2665 101.034244) + (xy 123.263725 101.004651) + (xy 123.261748 100.999) + (xy 123.220117 100.880026) + (xy 123.176896 100.821464) + (xy 123.141711 100.773789) + (xy 123.074013 100.723826) + (xy 123.035473 100.695382) + (xy 122.910854 100.651776) + (xy 122.910855 100.651776) + (xy 122.91085 100.651775) + (xy 122.910849 100.651775) + (xy 122.881256 100.649) + (xy 122.200744 100.649) + (xy 122.171151 100.651775) + (xy 122.171149 100.651775) + (xy 122.171145 100.651776) + (xy 122.046526 100.695382) + (xy 121.94029 100.773788) + (xy 121.940288 100.77379) + (xy 121.861882 100.880026) + (xy 121.818276 101.004645) + (xy 121.818275 101.004649) + (xy 121.818275 101.004651) + (xy 121.8155 101.034244) + (xy 121.8155 101.614756) + (xy 121.818275 101.644349) + (xy 121.818275 101.644351) + (xy 121.818276 101.644354) + (xy 121.861882 101.768973) + (xy 121.902962 101.824635) + (xy 121.940289 101.875211) + (xy 122.015941 101.931044) + (xy 122.046526 101.953617) + (xy 122.171145 101.997223) + (xy 122.171151 101.997225) + (xy 122.200744 102) + (xy 122.261 102) + (xy 122.308566 102.017313) + (xy 122.333876 102.06115) + (xy 122.335 102.074) + (xy 122.335 102.1034) + (xy 122.317687 102.150966) + (xy 122.27385 102.176276) + (xy 122.267911 102.177077) + (xy 122.259249 102.177889) + (xy 122.238301 102.179854) + (xy 122.238299 102.179854) + (xy 122.238295 102.179855) + (xy 122.110118 102.224706) + (xy 122.110116 102.224707) + (xy 122.000853 102.305346) + (xy 122.000846 102.305353) + (xy 121.920207 102.414616) + (xy 121.920206 102.414618) + (xy 121.875355 102.542795) + (xy 121.875354 102.542799) + (xy 121.875354 102.542801) + (xy 121.8725 102.573234) + (xy 121.8725 103.631766) + (xy 121.875354 103.662199) + (xy 121.875354 103.662201) + (xy 121.875355 103.662204) + (xy 121.920206 103.790381) + (xy 121.920207 103.790383) + (xy 122.000846 103.899646) + (xy 122.000853 103.899653) + (xy 122.110116 103.980292) + (xy 122.110115 103.980292) + (xy 122.110118 103.980293) + (xy 122.180941 104.005074) + (xy 122.220119 104.037124) + (xy 122.2305 104.074921) + (xy 122.2305 104.405445) + (xy 122.213187 104.453011) + (xy 122.16935 104.478321) + (xy 122.165325 104.478917) + (xy 122.114703 104.484997) + (xy 121.982236 104.537234) + (xy 121.868775 104.623274) + (xy 121.868774 104.623275) + (xy 121.782734 104.736736) + (xy 121.761444 104.790726) + (xy 121.730497 104.869203) + (xy 121.7205 104.952448) + (xy 121.7205 107.348552) + (xy 121.3915 107.348552) + (xy 121.3915 104.952448) + (xy 121.381503 104.869203) + (xy 121.329265 104.736736) + (xy 121.243225 104.623275) + (xy 121.132125 104.539025) + (xy 121.129763 104.537234) + (xy 121.070121 104.513715) + (xy 120.997297 104.484997) + (xy 120.941706 104.478321) + (xy 120.914053 104.475) + (xy 120.914052 104.475) + (xy 120.459978 104.475) + (xy 120.412412 104.457687) + (xy 120.407652 104.453326) + (xy 119.113357 103.159031) + (xy 119.111176 103.156651) + (xy 119.087816 103.128812) + (xy 119.084455 103.124806) + (xy 119.061407 103.111499) + (xy 119.048458 103.104022) + (xy 119.045736 103.102288) + (xy 119.011685 103.078446) + (xy 119.011682 103.078445) + (xy 119.007047 103.077203) + (xy 118.9892 103.069811) + (xy 118.985044 103.067411) + (xy 118.944114 103.060193) + (xy 118.940964 103.059495) + (xy 118.900806 103.048735) + (xy 118.900805 103.048735) + (xy 118.867818 103.051622) + (xy 118.859394 103.052359) + (xy 118.856174 103.0525) + (xy 116.855815 103.0525) + (xy 116.852594 103.052359) + (xy 116.843674 103.051578) + (xy 116.811194 103.048736) + (xy 116.771044 103.059494) + (xy 116.767894 103.060192) + (xy 116.726953 103.067412) + (xy 116.726951 103.067412) + (xy 116.72279 103.069815) + (xy 116.704959 103.077201) + (xy 116.700317 103.078445) + (xy 116.700312 103.078447) + (xy 116.666265 103.102287) + (xy 116.663544 103.104021) + (xy 116.627549 103.124803) + (xy 116.627543 103.124808) + (xy 116.600832 103.156639) + (xy 116.598651 103.15902) + (xy 107.98503 111.772641) + (xy 107.98265 111.774822) + (xy 107.950808 111.801542) + (xy 107.950805 111.801545) + (xy 107.930019 111.837546) + (xy 107.928285 111.840268) + (xy 107.904447 111.874312) + (xy 107.904445 111.874317) + (xy 107.903201 111.878959) + (xy 107.895815 111.89679) + (xy 107.893412 111.900951) + (xy 107.893412 111.900952) + (xy 107.886193 111.941884) + (xy 107.885495 111.945033) + (xy 107.874735 111.985192) + (xy 107.874735 111.985194) + (xy 107.878359 112.026598) + (xy 107.8785 112.029825) + (xy 107.8785 130.99996) + (xy 107.861187 131.047526) + (xy 107.81735 131.072836) + (xy 107.7675 131.064046) + (xy 107.759454 131.05867) + (xy 107.744848 131.047463) + (xy 107.598769 130.986955) + (xy 107.442008 130.966318) + (xy 107.285247 130.986955) + (xy 107.285246 130.986955) + (xy 107.139166 131.047464) + (xy 107.013728 131.143715) + (xy 107.013723 131.14372) + (xy 106.917472 131.269158) + (xy 106.856963 131.415238) + (xy 106.856963 131.415239) + (xy 106.836326 131.572) + (xy 106.856963 131.72876) + (xy 106.856963 131.728761) + (xy 106.917472 131.874841) + (xy 107.013723 132.000279) + (xy 107.013728 132.000284) + (xy 107.058908 132.034952) + (xy 107.086106 132.077643) + (xy 107.079499 132.127829) + (xy 107.066186 132.145986) + (xy 103.970728 135.241445) + (xy 103.924852 135.262837) + (xy 103.875957 135.249736) + (xy 103.846923 135.208272) + (xy 103.845526 135.201968) + (xy 103.842005 135.182) + (xy 103.839088 135.165455) + (xy 103.836686 135.161295) + (xy 103.829295 135.143449) + (xy 103.828054 135.138816) + (xy 103.809398 135.112173) + (xy 103.804215 135.10477) + (xy 103.802479 135.102046) + (xy 103.781693 135.066043) + (xy 103.749847 135.039321) + (xy 103.747466 135.03714) + (xy 103.111357 134.401031) + (xy 103.109176 134.398651) + (xy 103.095959 134.3829) + (xy 103.082455 134.366806) + (xy 103.060405 134.354075) + (xy 103.046458 134.346022) + (xy 103.043736 134.344288) + (xy 103.009685 134.320446) + (xy 103.009682 134.320445) + (xy 103.005047 134.319203) + (xy 102.9872 134.311811) + (xy 102.983044 134.309411) + (xy 102.942114 134.302193) + (xy 102.938964 134.301495) + (xy 102.898806 134.290735) + (xy 102.898805 134.290735) + (xy 102.865818 134.293622) + (xy 102.857394 134.294359) + (xy 102.854174 134.2945) + (xy 96.555642 134.2945) + (xy 96.508076 134.277187) + (xy 96.496934 134.265548) + (xy 96.440284 134.19172) + (xy 96.440279 134.191715) + (xy 96.314841 134.095464) + (xy 96.168761 134.034955) + (xy 96.012 134.014318) + (xy 95.855239 134.034955) + (xy 95.855238 134.034955) + (xy 95.709158 134.095464) + (xy 95.58372 134.191715) + (xy 95.583715 134.19172) + (xy 95.487464 134.317158) + (xy 95.426955 134.463238) + (xy 95.426955 134.463239) + (xy 95.406318 134.62) + (xy 95.426955 134.77676) + (xy 95.426955 134.776761) + (xy 95.487464 134.922841) + (xy 95.583715 135.048279) + (xy 95.583716 135.04828) + (xy 95.583718 135.048282) + (xy 95.657549 135.104934) + (xy 95.684746 135.147624) + (xy 95.6865 135.163641) + (xy 95.6865 135.432818) + (xy 95.669187 135.480384) + (xy 95.636942 135.502665) + (xy 95.602025 135.514883) + (xy 95.49579 135.593288) + (xy 95.495788 135.59329) + (xy 95.417382 135.699526) + (xy 95.373776 135.824145) + (xy 95.373775 135.824149) + (xy 95.373775 135.824151) + (xy 95.371 135.853744) + (xy 95.371 136.434256) + (xy 95.373775 136.463849) + (xy 95.373775 136.463851) + (xy 95.373776 136.463854) + (xy 95.417382 136.588473) + (xy 95.454906 136.639316) + (xy 95.495789 136.694711) + (xy 95.556261 136.739341) + (xy 95.602026 136.773117) + (xy 95.726645 136.816723) + (xy 95.726651 136.816725) + (xy 95.756244 136.8195) + (xy 95.756251 136.8195) + (xy 96.361749 136.8195) + (xy 96.361756 136.8195) + (xy 96.391349 136.816725) + (xy 96.463157 136.791598) + (xy 96.515973 136.773117) + (xy 96.515973 136.773116) + (xy 96.515975 136.773116) + (xy 96.622211 136.694711) + (xy 96.700616 136.588475) + (xy 96.708291 136.566543) + (xy 96.744223 136.463854) + (xy 96.744222 136.463854) + (xy 96.744225 136.463849) + (xy 96.747 136.434256) + (xy 96.747 135.853744) + (xy 96.744225 135.824151) + (xy 96.722576 135.762281) + (xy 96.700617 135.699526) + (xy 96.638442 135.615282) + (xy 96.622211 135.593289) + (xy 96.544901 135.536232) + (xy 96.515973 135.514882) + (xy 96.387099 135.469787) + (xy 96.387925 135.467423) + (xy 96.35265 135.444794) + (xy 96.3375 135.399931) + (xy 96.3375 135.163641) + (xy 96.354813 135.116075) + (xy 96.366444 135.104939) + (xy 96.440282 135.048282) + (xy 96.461241 135.020967) + (xy 96.496934 134.974452) + (xy 96.539625 134.947254) + (xy 96.555642 134.9455) + (xy 102.704522 134.9455) + (xy 102.752088 134.962813) + (xy 102.756848 134.967174) + (xy 103.160876 135.371202) + (xy 103.182268 135.417078) + (xy 103.169167 135.465973) + (xy 103.132991 135.493375) + (xy 103.071526 135.514882) + (xy 102.96529 135.593288) + (xy 102.965288 135.59329) + (xy 102.886882 135.699526) + (xy 102.843276 135.824145) + (xy 102.843275 135.824149) + (xy 102.843275 135.824151) + (xy 102.841389 135.844272) + (xy 102.8405 135.853749) + (xy 102.8405 136.341021) + (xy 102.823187 136.388587) + (xy 102.818826 136.393347) + (xy 102.65103 136.561141) + (xy 102.64865 136.563322) + (xy 102.616808 136.590042) + (xy 102.616805 136.590045) + (xy 102.596019 136.626046) + (xy 102.594285 136.628768) + (xy 102.570447 136.662812) + (xy 102.570445 136.662817) + (xy 102.569201 136.667459) + (xy 102.561815 136.68529) + (xy 102.559412 136.689451) + (xy 102.559412 136.689452) + (xy 102.552193 136.730384) + (xy 102.551495 136.733533) + (xy 102.540735 136.773692) + (xy 102.540735 136.773694) + (xy 102.544359 136.815098) + (xy 102.5445 136.818325) + (xy 102.5445 137.375715) + (xy 102.527187 137.423281) + (xy 102.48335 137.448591) + (xy 102.4335 137.439801) + (xy 102.420647 137.430402) + (xy 102.271041 137.294019) + (xy 102.211639 137.257239) + (xy 102.097637 137.186652) + (xy 102.097631 137.186649) + (xy 102.097628 137.186648) + (xy 102.076266 137.178372) + (xy 102.038167 137.145045) + (xy 102.029 137.10937) + (xy 102.029 136.871627) + (xy 102.046313 136.824061) + (xy 102.078559 136.80178) + (xy 102.098435 136.794825) + (xy 102.160475 136.773116) + (xy 102.266711 136.694711) + (xy 102.345116 136.588475) + (xy 102.352791 136.566543) + (xy 102.388723 136.463854) + (xy 102.388722 136.463854) + (xy 102.388725 136.463849) + (xy 102.3915 136.434256) + (xy 102.3915 135.853744) + (xy 102.388725 135.824151) + (xy 102.367076 135.762281) + (xy 102.345117 135.699526) + (xy 102.282942 135.615282) + (xy 102.266711 135.593289) + (xy 102.189401 135.536232) + (xy 102.160473 135.514882) + (xy 102.035854 135.471276) + (xy 102.035855 135.471276) + (xy 102.03585 135.471275) + (xy 102.035849 135.471275) + (xy 102.006256 135.4685) + (xy 101.400744 135.4685) + (xy 101.371151 135.471275) + (xy 101.371149 135.471275) + (xy 101.371145 135.471276) + (xy 101.246526 135.514882) + (xy 101.14029 135.593288) + (xy 101.140288 135.59329) + (xy 101.061882 135.699526) + (xy 101.018276 135.824145) + (xy 101.018275 135.824149) + (xy 101.018275 135.824151) + (xy 101.0155 135.853744) + (xy 101.0155 136.434256) + (xy 101.018275 136.463849) + (xy 101.018275 136.463851) + (xy 101.018276 136.463854) + (xy 101.061882 136.588473) + (xy 101.099406 136.639316) + (xy 101.140289 136.694711) + (xy 101.246525 136.773116) + (xy 101.308565 136.794825) + (xy 101.328441 136.80178) + (xy 101.36762 136.833831) + (xy 101.378 136.871627) + (xy 101.378 137.037421) + (xy 101.360687 137.084987) + (xy 101.317602 137.11016) + (xy 101.302543 137.112975) + (xy 101.117012 137.184851) + (xy 101.112363 137.186652) + (xy 101.112358 137.186654) + (xy 101.112358 137.186655) + (xy 100.938958 137.294019) + (xy 100.938957 137.294019) + (xy 100.788235 137.43142) + (xy 100.788234 137.431421) + (xy 100.665329 137.594174) + (xy 100.665328 137.594177) + (xy 100.574418 137.77675) + (xy 100.574416 137.776755) + (xy 100.518602 137.972917) + (xy 100.518601 137.972923) + (xy 100.499785 138.175996) + (xy 100.499785 138.176003) + (xy 100.518601 138.379076) + (xy 100.518602 138.379082) + (xy 100.574416 138.575244) + (xy 100.574418 138.57525) + (xy 100.665327 138.757821) + (xy 100.665328 138.757822) + (xy 100.665329 138.757825) + (xy 100.788234 138.920578) + (xy 100.788235 138.920579) + (xy 100.875941 139.000533) + (xy 100.938959 139.057981) + (xy 101.112363 139.165348) + (xy 101.302544 139.239024) + (xy 101.503024 139.2765) + (xy 101.503026 139.2765) + (xy 101.706974 139.2765) + (xy 101.706976 139.2765) + (xy 101.907456 139.239024) + (xy 102.097637 139.165348) + (xy 102.271041 139.057981) + (xy 102.420647 138.921597) + (xy 102.467461 138.902346) + (xy 102.515698 138.917691) + (xy 102.542786 138.960453) + (xy 102.544499 138.976284) + (xy 102.5445 139.534521) + (xy 102.527187 139.582087) + (xy 102.522826 139.586847) + (xy 101.754249 140.355423) + (xy 101.708372 140.376815) + (xy 101.692265 140.376464) + (xy 101.6 140.364318) + (xy 101.443239 140.384955) + (xy 101.443238 140.384955) + (xy 101.297158 140.445464) + (xy 101.17172 140.541715) + (xy 101.171715 140.54172) + (xy 101.075464 140.667158) + (xy 101.014955 140.813238) + (xy 101.014955 140.813239) + (xy 100.994318 140.97) + (xy 101.014955 141.12676) + (xy 101.014955 141.126761) + (xy 101.075464 141.272841) + (xy 101.171715 141.398279) + (xy 101.171722 141.398286) + (xy 101.174989 141.400793) + (xy 101.202186 141.443485) + (xy 101.195578 141.49367) + (xy 101.158258 141.527868) + (xy 101.12994 141.5335) + (xy 97.371826 141.5335) + (xy 97.368605 141.533359) + (xy 97.35965 141.532575) + (xy 97.327194 141.529735) + (xy 97.327192 141.529735) + (xy 97.287033 141.540495) + (xy 97.283884 141.541193) + (xy 97.242952 141.548412) + (xy 97.242951 141.548412) + (xy 97.23879 141.550815) + (xy 97.220959 141.558201) + (xy 97.216317 141.559445) + (xy 97.216312 141.559447) + (xy 97.182268 141.583285) + (xy 97.179547 141.585019) + (xy 97.143547 141.605804) + (xy 97.143543 141.605808) + (xy 97.116827 141.637645) + (xy 97.114646 141.640025) + (xy 96.217848 142.536826) + (xy 96.171972 142.558218) + (xy 96.165522 142.5585) + (xy 95.536252 142.5585) + (xy 95.509401 142.563841) + (xy 95.477767 142.570133) + (xy 95.411449 142.614447) + (xy 95.411447 142.614449) + (xy 95.367133 142.680767) + (xy 95.363864 142.697203) + (xy 95.3555 142.739252) + (xy 95.3555 143.778748) + (xy 95.363165 143.817283) + (xy 95.367133 143.837231) + (xy 95.37158 143.843886) + (xy 95.383612 143.893054) + (xy 95.361225 143.938454) + (xy 95.314894 143.958841) + (xy 95.310052 143.959) + (xy 93.665952 143.959) + (xy 93.618386 143.941687) + (xy 93.593076 143.89785) + (xy 93.592863 143.873424) + (xy 93.606 143.790481) + (xy 93.606 143.459) + (xy 92.006 143.459) + (xy 92.006 144.158999) + (xy 92.482 144.158999) + (xy 92.529566 144.176312) + (xy 92.554876 144.220149) + (xy 92.556 144.232999) + (xy 92.556 144.659) + (xy 99.782 144.659) + (xy 99.829566 144.676313) + (xy 99.854876 144.72015) + (xy 99.856 144.733) + (xy 99.856 153.359) + (xy 101.456 153.359) + (xy 101.456 144.659) + (xy 100.23 144.659) + (xy 100.182434 144.641687) + (xy 100.157124 144.59785) + (xy 100.156 144.585) + (xy 100.156 143.959) + (xy 96.601948 143.959) + (xy 96.554382 143.941687) + (xy 96.529072 143.89785) + (xy 96.537862 143.848) + (xy 96.54042 143.843886) + (xy 96.542319 143.841044) + (xy 96.544867 143.837231) + (xy 96.5565 143.778748) + (xy 96.5565 143.609) + (xy 97.956 143.609) + (xy 101.082001 143.609) + (xy 101.129567 143.626313) + (xy 101.154877 143.67015) + (xy 101.156001 143.683) + (xy 101.156001 143.790482) + (xy 101.170834 143.884148) + (xy 101.170835 143.884149) + (xy 101.22836 143.997046) + (xy 101.317953 144.086639) + (xy 101.43085 144.144164) + (xy 101.524518 144.158999) + (xy 101.956 144.158999) + (xy 101.956 142.359) + (xy 101.524518 142.359) + (xy 101.430851 142.373834) + (xy 101.43085 142.373835) + (xy 101.317953 142.43136) + (xy 101.22836 142.520953) + (xy 101.170835 142.63385) + (xy 101.170835 142.633851) + (xy 101.156 142.727518) + (xy 101.156 142.785) + (xy 101.138687 142.832566) + (xy 101.09485 142.857876) + (xy 101.082 142.859) + (xy 97.956 142.859) + (xy 97.956 143.609) + (xy 96.5565 143.609) + (xy 96.5565 143.149478) + (xy 96.573813 143.101912) + (xy 96.578174 143.097152) + (xy 97.469152 142.206174) + (xy 97.515028 142.184782) + (xy 97.521478 142.1845) + (xy 103.743174 142.1845) + (xy 103.746394 142.18464) + (xy 103.772546 142.186928) + (xy 103.787805 142.188264) + (xy 103.787805 142.188263) + (xy 103.787807 142.188264) + (xy 103.827967 142.177502) + (xy 103.8311 142.176807) + (xy 103.872045 142.169588) + (xy 103.876194 142.167191) + (xy 103.894055 142.159794) + (xy 103.898684 142.158554) + (xy 103.932732 142.134712) + (xy 103.93545 142.13298) + (xy 103.971455 142.112194) + (xy 103.998187 142.080334) + (xy 104.000348 142.077976) + (xy 106.77975 139.298574) + (xy 106.825625 139.277183) + (xy 106.84173 139.277533) + (xy 106.934 139.289682) + (xy 107.090762 139.269044) + (xy 107.236841 139.208536) + (xy 107.362282 139.112282) + (xy 107.458536 138.986841) + (xy 107.519044 138.840762) + (xy 107.539682 138.684) + (xy 107.519044 138.527238) + (xy 107.458536 138.381159) + (xy 107.362282 138.255718) + (xy 107.362279 138.255715) + (xy 107.236841 138.159464) + (xy 107.090761 138.098955) + (xy 106.934 138.078318) + (xy 106.777239 138.098955) + (xy 106.777238 138.098955) + (xy 106.631158 138.159464) + (xy 106.50572 138.255715) + (xy 106.505715 138.25572) + (xy 106.409464 138.381158) + (xy 106.348955 138.527238) + (xy 106.348955 138.527239) + (xy 106.328318 138.684) + (xy 106.340464 138.776265) + (xy 106.329508 138.825684) + (xy 106.319423 138.838249) + (xy 103.645848 141.511826) + (xy 103.599972 141.533218) + (xy 103.593522 141.5335) + (xy 102.07006 141.5335) + (xy 102.022494 141.516187) + (xy 101.997184 141.47235) + (xy 102.005974 141.4225) + (xy 102.025011 141.400793) + (xy 102.028277 141.398286) + (xy 102.028277 141.398285) + (xy 102.028282 141.398282) + (xy 102.124536 141.272841) + (xy 102.185044 141.126762) + (xy 102.205682 140.97) + (xy 102.193534 140.877733) + (xy 102.20449 140.828315) + (xy 102.21457 140.815754) + (xy 103.088989 139.941336) + (xy 103.091335 139.939186) + (xy 103.123194 139.912455) + (xy 103.143984 139.876443) + (xy 103.14571 139.873736) + (xy 103.169553 139.839685) + (xy 103.169554 139.839684) + (xy 103.170794 139.835054) + (xy 103.178193 139.817192) + (xy 103.180588 139.813045) + (xy 103.187808 139.772093) + (xy 103.188505 139.768953) + (xy 103.199263 139.728807) + (xy 103.199145 139.727463) + (xy 103.196278 139.694682) + (xy 103.19564 139.687402) + (xy 103.1955 139.684181) + (xy 103.1955 139.3505) + (xy 103.212813 139.302934) + (xy 103.25665 139.277624) + (xy 103.2695 139.2765) + (xy 105.064746 139.2765) + (xy 105.064748 139.2765) + (xy 105.123231 139.264867) + (xy 105.189552 139.220552) + (xy 105.233867 139.154231) + (xy 105.2455 139.095748) + (xy 105.2455 137.256252) + (xy 105.233867 137.197769) + (xy 105.226437 137.18665) + (xy 105.216566 137.171877) + (xy 105.189552 137.131448) + (xy 105.159188 137.111159) + (xy 105.123232 137.087133) + (xy 105.123233 137.087133) + (xy 105.083781 137.079286) + (xy 105.064748 137.0755) + (xy 103.2695 137.0755) + (xy 103.221934 137.058187) + (xy 103.196624 137.01435) + (xy 103.1955 137.0015) + (xy 103.1955 136.967978) + (xy 103.212813 136.920412) + (xy 103.217174 136.915652) + (xy 103.291652 136.841174) + (xy 103.337528 136.819782) + (xy 103.343978 136.8195) + (xy 103.831249 136.8195) + (xy 103.831256 136.8195) + (xy 103.860849 136.816725) + (xy 103.932657 136.791598) + (xy 103.985473 136.773117) + (xy 103.985473 136.773116) + (xy 103.985475 136.773116) + (xy 104.091711 136.694711) + (xy 104.170116 136.588475) + (xy 104.177791 136.566543) + (xy 104.213723 136.463854) + (xy 104.213722 136.463854) + (xy 104.213725 136.463849) + (xy 104.2165 136.434256) + (xy 104.2165 135.946977) + (xy 104.233813 135.899411) + (xy 104.238163 135.894662) + (xy 107.660991 132.471833) + (xy 107.663337 132.469683) + (xy 107.695202 132.442947) + (xy 107.715988 132.406942) + (xy 107.71772 132.404225) + (xy 107.73606 132.378033) + (xy 107.741562 132.370176) + (xy 107.741562 132.370173) + (xy 107.743883 132.36686) + (xy 107.785348 132.337827) + (xy 107.835775 132.34224) + (xy 107.871567 132.378033) + (xy 107.8785 132.409306) + (xy 107.878499 142.986179) + (xy 107.878358 142.989405) + (xy 107.874735 143.03081) + (xy 107.874735 143.030812) + (xy 107.885495 143.07097) + (xy 107.886193 143.07412) + (xy 107.893411 143.11505) + (xy 107.895323 143.118361) + (xy 107.895591 143.118826) + (xy 107.895811 143.119206) + (xy 107.903203 143.137053) + (xy 107.904445 143.141688) + (xy 107.904446 143.141691) + (xy 107.928288 143.175742) + (xy 107.930022 143.178464) + (xy 107.938812 143.193687) + (xy 107.950806 143.214461) + (xy 107.950808 143.214463) + (xy 107.950807 143.214463) + (xy 107.967938 143.228836) + (xy 107.982658 143.241188) + (xy 107.985027 143.243359) + (xy 108.859417 144.117749) + (xy 108.880809 144.163625) + (xy 108.880458 144.179733) + (xy 108.868312 144.271999) + (xy 108.888949 144.42876) + (xy 108.888949 144.428761) + (xy 108.949458 144.574841) + (xy 109.045709 144.700279) + (xy 109.045714 144.700284) + (xy 109.171153 144.796536) + (xy 109.311575 144.854701) + (xy 109.348896 144.888899) + (xy 109.355503 144.939084) + (xy 109.335583 144.975394) + (xy 108.399153 145.911826) + (xy 108.353277 145.933218) + (xy 108.346827 145.9335) + (xy 107.326031 145.9335) + (xy 107.278465 145.916187) + (xy 107.253453 145.873936) + (xy 107.253276 145.873044) + (xy 107.244867 145.830769) + (xy 107.244169 145.829725) + (xy 107.22322 145.798373) + (xy 107.200552 145.764448) + (xy 107.200548 145.764445) + (xy 107.197429 145.761326) + (xy 107.176037 145.71545) + (xy 107.189138 145.666555) + (xy 107.197429 145.656674) + (xy 107.200545 145.653556) + (xy 107.200552 145.653552) + (xy 107.244867 145.587231) + (xy 107.2565 145.528748) + (xy 107.2565 144.789252) + (xy 107.244867 144.730769) + (xy 107.244866 144.730767) + (xy 107.244866 144.730766) + (xy 107.241089 144.725114) + (xy 107.229056 144.675947) + (xy 107.241089 144.642886) + (xy 107.244866 144.637233) + (xy 107.244866 144.637232) + (xy 107.244867 144.637231) + (xy 107.2565 144.578748) + (xy 107.2565 143.839252) + (xy 107.244867 143.780769) + (xy 107.244711 143.780536) + (xy 107.222613 143.747464) + (xy 107.200552 143.714448) + (xy 107.159408 143.686956) + (xy 107.134232 143.670133) + (xy 107.134233 143.670133) + (xy 107.104989 143.664316) + (xy 107.075748 143.6585) + (xy 106.08 143.6585) + (xy 106.032434 143.641187) + (xy 106.007124 143.59735) + (xy 106.006 143.5845) + (xy 106.006 142.859) + (xy 103.229999 142.859) + (xy 103.182433 142.841687) + (xy 103.157123 142.79785) + (xy 103.155999 142.785) + (xy 103.155998 142.727517) + (xy 103.141165 142.633851) + (xy 103.141164 142.63385) + (xy 103.083639 142.520953) + (xy 102.994046 142.43136) + (xy 102.881149 142.373835) + (xy 102.787482 142.359) + (xy 102.356 142.359) + (xy 102.356 144.158999) + (xy 102.787481 144.158999) + (xy 102.881148 144.144165) + (xy 102.881149 144.144164) + (xy 102.994046 144.086639) + (xy 103.083639 143.997046) + (xy 103.141164 143.884149) + (xy 103.141164 143.884148) + (xy 103.156 143.790481) + (xy 103.156 143.683) + (xy 103.173313 143.635434) + (xy 103.21715 143.610124) + (xy 103.23 143.609) + (xy 105.643462 143.609) + (xy 105.691028 143.626313) + (xy 105.716338 143.67015) + (xy 105.707548 143.72) + (xy 105.70499 143.724113) + (xy 105.667133 143.780767) + (xy 105.6555 143.839253) + (xy 105.6555 144.578746) + (xy 105.667133 144.637231) + (xy 105.670911 144.642885) + (xy 105.682944 144.692052) + (xy 105.670914 144.725109) + (xy 105.667133 144.730767) + (xy 105.663132 144.750878) + (xy 105.658624 144.77355) + (xy 105.658547 144.773936) + (xy 105.632288 144.817211) + (xy 105.585969 144.8335) + (xy 105.067233 144.8335) + (xy 105.022185 144.818208) + (xy 104.950841 144.763464) + (xy 104.804761 144.702955) + (xy 104.648 144.682318) + (xy 104.491239 144.702955) + (xy 104.491238 144.702955) + (xy 104.345158 144.763464) + (xy 104.21972 144.859715) + (xy 104.219715 144.85972) + (xy 104.123464 144.985158) + (xy 104.062955 145.131238) + (xy 104.062955 145.131239) + (xy 104.042318 145.288) + (xy 104.062955 145.44476) + (xy 104.062955 145.444761) + (xy 104.123464 145.590841) + (xy 104.219715 145.716279) + (xy 104.21972 145.716284) + (xy 104.291839 145.771622) + (xy 104.345159 145.812536) + (xy 104.491238 145.873044) + (xy 104.648 145.893682) + (xy 104.804762 145.873044) + (xy 104.950841 145.812536) + (xy 105.076282 145.716282) + (xy 105.172536 145.590841) + (xy 105.197661 145.530182) + (xy 105.231859 145.492861) + (xy 105.266029 145.4845) + (xy 105.585969 145.4845) + (xy 105.633535 145.501813) + (xy 105.658547 145.544064) + (xy 105.667133 145.587232) + (xy 105.669544 145.59084) + (xy 105.711448 145.653552) + (xy 105.711451 145.653554) + (xy 105.714573 145.656677) + (xy 105.735963 145.702555) + (xy 105.722859 145.751448) + (xy 105.714573 145.761323) + (xy 105.711448 145.764448) + (xy 105.667133 145.830767) + (xy 105.6555 145.889253) + (xy 105.6555 146.616881) + (xy 105.638187 146.664447) + (xy 105.622141 146.677211) + (xy 105.622667 146.677935) + (xy 105.617956 146.681358) + (xy 105.52836 146.770953) + (xy 105.470835 146.88385) + (xy 105.470835 146.883851) + (xy 105.456 146.977518) + (xy 105.456 147.159) + (xy 107.455999 147.159) + (xy 107.455999 146.977517) + (xy 107.441165 146.883851) + (xy 107.441164 146.88385) + (xy 107.383639 146.770953) + (xy 107.323512 146.710826) + (xy 107.30212 146.66495) + (xy 107.315221 146.616055) + (xy 107.356685 146.587021) + (xy 107.375838 146.5845) + (xy 108.496479 146.5845) + (xy 108.499699 146.58464) + (xy 108.525851 146.586928) + (xy 108.54111 146.588264) + (xy 108.54111 146.588263) + (xy 108.541112 146.588264) + (xy 108.581272 146.577502) + (xy 108.584405 146.576807) + (xy 108.62535 146.569588) + (xy 108.629499 146.567191) + (xy 108.64736 146.559794) + (xy 108.651989 146.558554) + (xy 108.686037 146.534712) + (xy 108.688755 146.53298) + (xy 108.72476 146.512194) + (xy 108.751492 146.480334) + (xy 108.753653 146.477976) + (xy 110.454983 144.776646) + (xy 110.457329 144.774496) + (xy 110.489194 144.74776) + (xy 110.50998 144.711755) + (xy 110.511712 144.709038) + (xy 110.530422 144.682318) + (xy 110.535554 144.674989) + (xy 110.536794 144.670359) + (xy 110.544193 144.652497) + (xy 110.546588 144.64835) + (xy 110.553807 144.607405) + (xy 110.554504 144.604265) + (xy 110.565264 144.564112) + (xy 110.56164 144.522696) + (xy 110.5615 144.519475) + (xy 110.5615 142.8935) + (xy 110.578813 142.845934) + (xy 110.62265 142.820624) + (xy 110.6355 142.8195) + (xy 111.231815 142.8195) + (xy 111.279381 142.836813) + (xy 111.304691 142.88065) + (xy 111.295901 142.9305) + (xy 111.260134 142.961867) + (xy 111.203153 142.985469) + (xy 111.077715 143.08172) + (xy 111.07771 143.081725) + (xy 110.981459 143.207163) + (xy 110.92095 143.353243) + (xy 110.92095 143.353244) + (xy 110.900313 143.510005) + (xy 110.91232 143.601214) + (xy 110.903038 143.647873) + (xy 110.883019 143.682546) + (xy 110.881285 143.685268) + (xy 110.857447 143.719312) + (xy 110.857445 143.719317) + (xy 110.856201 143.723959) + (xy 110.848815 143.74179) + (xy 110.846412 143.745951) + (xy 110.846412 143.745952) + (xy 110.839193 143.786884) + (xy 110.838495 143.790033) + (xy 110.827735 143.830192) + (xy 110.827735 143.830194) + (xy 110.831359 143.871598) + (xy 110.8315 143.874825) + (xy 110.8315 146.64252) + (xy 110.814187 146.690086) + (xy 110.809826 146.694846) + (xy 108.894513 148.610159) + (xy 108.848637 148.631551) + (xy 108.799742 148.61845) + (xy 108.770708 148.576986) + (xy 108.773819 148.529516) + (xy 108.789044 148.492762) + (xy 108.809682 148.336) + (xy 108.789044 148.179238) + (xy 108.728536 148.033159) + (xy 108.675812 147.964448) + (xy 108.632284 147.90772) + (xy 108.632279 147.907715) + (xy 108.506841 147.811464) + (xy 108.360761 147.750955) + (xy 108.204 147.730318) + (xy 108.047239 147.750955) + (xy 108.047238 147.750955) + (xy 107.901158 147.811464) + (xy 107.77572 147.907715) + (xy 107.775715 147.90772) + (xy 107.679464 148.033158) + (xy 107.656823 148.087819) + (xy 107.622625 148.125139) + (xy 107.588456 148.1335) + (xy 107.375838 148.1335) + (xy 107.328272 148.116187) + (xy 107.302962 148.07235) + (xy 107.311752 148.0225) + (xy 107.323512 148.007174) + (xy 107.383639 147.947046) + (xy 107.441164 147.834149) + (xy 107.441164 147.834148) + (xy 107.456 147.740481) + (xy 107.456 147.559) + (xy 105.456001 147.559) + (xy 105.456001 147.740482) + (xy 105.470834 147.834148) + (xy 105.470835 147.834149) + (xy 105.52836 147.947046) + (xy 105.617955 148.036641) + (xy 105.622665 148.040063) + (xy 105.621342 148.041883) + (xy 105.649615 148.072199) + (xy 105.6555 148.101118) + (xy 105.655499 148.828747) + (xy 105.664449 148.873739) + (xy 105.656749 148.923769) + (xy 105.618691 148.957144) + (xy 105.60153 148.961542) + (xy 105.507239 148.973955) + (xy 105.507238 148.973955) + (xy 105.361158 149.034464) + (xy 105.23572 149.130715) + (xy 105.235715 149.13072) + (xy 105.139464 149.256158) + (xy 105.078955 149.402238) + (xy 105.078955 149.402239) + (xy 105.058318 149.559) + (xy 105.078955 149.71576) + (xy 105.078955 149.715761) + (xy 105.139464 149.861841) + (xy 105.235715 149.987279) + (xy 105.23572 149.987284) + (xy 105.319345 150.051451) + (xy 105.361159 150.083536) + (xy 105.507238 150.144044) + (xy 105.60153 150.156457) + (xy 105.646429 150.17983) + (xy 105.665801 150.226596) + (xy 105.664449 150.24426) + (xy 105.662361 150.254759) + (xy 105.6555 150.289252) + (xy 105.6555 151.028748) + (xy 105.662402 151.063448) + (xy 105.667133 151.087232) + (xy 105.675893 151.100341) + (xy 105.711448 151.153552) + (xy 105.711451 151.153554) + (xy 105.714573 151.156677) + (xy 105.735963 151.202555) + (xy 105.722859 151.251448) + (xy 105.714573 151.261323) + (xy 105.711448 151.264448) + (xy 105.667133 151.330767) + (xy 105.658547 151.373936) + (xy 105.632288 151.417211) + (xy 105.585969 151.4335) + (xy 105.188479 151.4335) + (xy 105.140913 151.416187) + (xy 105.136153 151.411826) + (xy 105.008575 151.284249) + (xy 104.987183 151.238373) + (xy 104.987533 151.222269) + (xy 104.999682 151.13) + (xy 104.979044 150.973238) + (xy 104.918536 150.827159) + (xy 104.822282 150.701718) + (xy 104.822279 150.701715) + (xy 104.696841 150.605464) + (xy 104.550761 150.544955) + (xy 104.394 150.524318) + (xy 104.237239 150.544955) + (xy 104.237238 150.544955) + (xy 104.091158 150.605464) + (xy 103.96572 150.701715) + (xy 103.965715 150.70172) + (xy 103.869464 150.827158) + (xy 103.808955 150.973238) + (xy 103.808955 150.973239) + (xy 103.788318 151.13) + (xy 103.808955 151.28676) + (xy 103.808955 151.286761) + (xy 103.869464 151.432841) + (xy 103.965715 151.558279) + (xy 103.96572 151.558284) + (xy 104.049345 151.622451) + (xy 104.091159 151.654536) + (xy 104.237238 151.715044) + (xy 104.394 151.735682) + (xy 104.486264 151.723534) + (xy 104.535682 151.73449) + (xy 104.548249 151.744575) + (xy 104.781652 151.977979) + (xy 104.783824 151.980349) + (xy 104.810545 152.012194) + (xy 104.846548 152.03298) + (xy 104.849272 152.034716) + (xy 104.883314 152.058553) + (xy 104.883315 152.058553) + (xy 104.883316 152.058554) + (xy 104.887945 152.059794) + (xy 104.9058 152.067189) + (xy 104.909955 152.069588) + (xy 104.950915 152.076809) + (xy 104.954028 152.0775) + (xy 104.994193 152.088263) + (xy 105.035598 152.08464) + (xy 105.038817 152.0845) + (xy 105.585969 152.0845) + (xy 105.633535 152.101813) + (xy 105.658546 152.144062) + (xy 105.659142 152.147056) + (xy 105.667133 152.187232) + (xy 105.681952 152.209409) + (xy 105.711448 152.253552) + (xy 105.711451 152.253554) + (xy 105.714573 152.256677) + (xy 105.735963 152.302555) + (xy 105.722859 152.351448) + (xy 105.714573 152.361323) + (xy 105.711448 152.364448) + (xy 105.667133 152.430767) + (xy 105.658547 152.473936) + (xy 105.632288 152.517211) + (xy 105.585969 152.5335) + (xy 105.027043 152.5335) + (xy 104.979477 152.516187) + (xy 104.968336 152.50455) + (xy 104.949282 152.479718) + (xy 104.94928 152.479717) + (xy 104.94928 152.479716) + (xy 104.949279 152.479715) + (xy 104.823841 152.383464) + (xy 104.677761 152.322955) + (xy 104.521 152.302318) + (xy 104.364239 152.322955) + (xy 104.364238 152.322955) + (xy 104.218158 152.383464) + (xy 104.09272 152.479715) + (xy 104.092715 152.47972) + (xy 103.996464 152.605158) + (xy 103.935955 152.751238) + (xy 103.935955 152.751239) + (xy 103.915318 152.908) + (xy 103.935955 153.06476) + (xy 103.935955 153.064761) + (xy 103.996464 153.210841) + (xy 104.092715 153.336279) + (xy 104.09272 153.336284) + (xy 104.160965 153.38865) + (xy 104.218159 153.432536) + (xy 104.364238 153.493044) + (xy 104.521 153.513682) + (xy 104.677762 153.493044) + (xy 104.823841 153.432536) + (xy 104.949282 153.336282) + (xy 105.043532 153.213452) + (xy 105.086224 153.186254) + (xy 105.102241 153.1845) + (xy 105.585969 153.1845) + (xy 105.633535 153.201813) + (xy 105.658547 153.244064) + (xy 105.667133 153.287232) + (xy 105.696608 153.331343) + (xy 105.711448 153.353552) + (xy 105.728918 153.365225) + (xy 105.763974 153.38865) + (xy 105.793905 153.429472) + (xy 105.790593 153.479983) + (xy 105.755589 153.516547) + (xy 105.734438 153.523267) + (xy 105.73085 153.523835) + (xy 105.617953 153.58136) + (xy 105.52836 153.670953) + (xy 105.470835 153.78385) + (xy 105.470835 153.783851) + (xy 105.456 153.877518) + (xy 105.456 154.209) + (xy 107.455999 154.209) + (xy 107.455999 153.877517) + (xy 107.441165 153.783851) + (xy 107.441164 153.78385) + (xy 107.383639 153.670953) + (xy 107.294046 153.58136) + (xy 107.181149 153.523835) + (xy 107.177562 153.523267) + (xy 107.133289 153.498726) + (xy 107.115149 153.451469) + (xy 107.131629 153.403608) + (xy 107.148025 153.38865) + (xy 107.148292 153.388471) + (xy 107.200552 153.353552) + (xy 107.244867 153.287231) + (xy 107.2565 153.228748) + (xy 107.2565 152.489252) + (xy 107.244867 152.430769) + (xy 107.200552 152.364448) + (xy 107.200548 152.364445) + (xy 107.197429 152.361326) + (xy 107.176037 152.31545) + (xy 107.189138 152.266555) + (xy 107.197429 152.256674) + (xy 107.200545 152.253556) + (xy 107.200552 152.253552) + (xy 107.244867 152.187231) + (xy 107.2565 152.128748) + (xy 107.2565 151.389252) + (xy 107.244867 151.330769) + (xy 107.200552 151.264448) + (xy 107.200548 151.264445) + (xy 107.197429 151.261326) + (xy 107.176037 151.21545) + (xy 107.189138 151.166555) + (xy 107.197429 151.156674) + (xy 107.200545 151.153556) + (xy 107.200552 151.153552) + (xy 107.244867 151.087231) + (xy 107.252812 151.047284) + (xy 107.279071 151.004011) + (xy 107.327003 150.98774) + (xy 107.331814 150.988002) + (xy 107.334807 150.988264) + (xy 107.374967 150.977502) + (xy 107.3781 150.976807) + (xy 107.419045 150.969588) + (xy 107.423194 150.967191) + (xy 107.441055 150.959794) + (xy 107.445684 150.958554) + (xy 107.479732 150.934712) + (xy 107.48245 150.93298) + (xy 107.518455 150.912194) + (xy 107.545187 150.880334) + (xy 107.547348 150.877976) + (xy 111.375989 147.049336) + (xy 111.378335 147.047186) + (xy 111.410194 147.020455) + (xy 111.430981 146.984448) + (xy 111.432711 146.981733) + (xy 111.456553 146.947684) + (xy 111.457794 146.943051) + (xy 111.465188 146.9252) + (xy 111.467588 146.921045) + (xy 111.474809 146.880089) + (xy 111.475506 146.876949) + (xy 111.486263 146.836807) + (xy 111.48264 146.795402) + (xy 111.4825 146.792181) + (xy 111.4825 144.183676) + (xy 111.499813 144.13611) + (xy 111.54365 144.1108) + (xy 111.546823 144.110311) + (xy 111.575831 144.106492) + (xy 111.587841 144.104912) + (xy 111.63726 144.115869) + (xy 111.668074 144.156028) + (xy 111.671499 144.178279) + (xy 111.671499 150.843161) + (xy 111.671358 150.846387) + (xy 111.667735 150.887792) + (xy 111.667735 150.887794) + (xy 111.678495 150.927952) + (xy 111.679193 150.931102) + (xy 111.686411 150.972032) + (xy 111.688811 150.976188) + (xy 111.696203 150.994035) + (xy 111.697445 150.99867) + (xy 111.697446 150.998673) + (xy 111.721288 151.032724) + (xy 111.723022 151.035446) + (xy 111.731812 151.050669) + (xy 111.743806 151.071443) + (xy 111.743808 151.071445) + (xy 111.743807 151.071445) + (xy 111.755385 151.081159) + (xy 111.775658 151.09817) + (xy 111.778027 151.100341) + (xy 115.978176 155.30049) + (xy 115.999568 155.346366) + (xy 115.999217 155.362474) + (xy 115.987071 155.45474) + (xy 116.007708 155.611501) + (xy 116.007709 155.611505) + (xy 116.065872 155.751923) + (xy 116.06808 155.802493) + (xy 116.037265 155.842652) + (xy 115.997505 155.854241) + (xy 112.417585 155.854241) + (xy 112.414364 155.8541) + (xy 112.405409 155.853316) + (xy 112.372953 155.850476) + (xy 112.372951 155.850476) + (xy 112.332792 155.861236) + (xy 112.329643 155.861934) + (xy 112.288711 155.869153) + (xy 112.28871 155.869153) + (xy 112.284549 155.871556) + (xy 112.266718 155.878942) + (xy 112.262076 155.880186) + (xy 112.262071 155.880188) + (xy 112.228027 155.904026) + (xy 112.225306 155.90576) + (xy 112.189306 155.926545) + (xy 112.189302 155.926549) + (xy 112.162586 155.958386) + (xy 112.160405 155.960767) + (xy 110.231348 157.889826) + (xy 110.185472 157.911218) + (xy 110.179022 157.9115) + (xy 109.691744 157.9115) + (xy 109.662151 157.914275) + (xy 109.662149 157.914275) + (xy 109.662145 157.914276) + (xy 109.537526 157.957882) + (xy 109.43129 158.036288) + (xy 109.431288 158.03629) + (xy 109.352882 158.142526) + (xy 109.309276 158.267145) + (xy 109.309275 158.267149) + (xy 109.309275 158.267151) + (xy 109.3065 158.296744) + (xy 109.3065 158.902256) + (xy 109.309275 158.931849) + (xy 109.309275 158.931851) + (xy 109.309276 158.931854) + (xy 109.352882 159.056473) + (xy 109.377224 159.089455) + (xy 109.431289 159.162711) + (xy 109.509694 159.220576) + (xy 109.537526 159.241117) + (xy 109.662145 159.284723) + (xy 109.662151 159.284725) + (xy 109.691744 159.2875) + (xy 109.691751 159.2875) + (xy 110.272249 159.2875) + (xy 110.272256 159.2875) + (xy 110.301849 159.284725) + (xy 110.403753 159.249067) + (xy 110.426473 159.241117) + (xy 110.426473 159.241116) + (xy 110.426475 159.241116) + (xy 110.532711 159.162711) + (xy 110.611116 159.056475) + (xy 110.613126 159.050733) + (xy 110.648683 158.949115) + (xy 110.654725 158.931849) + (xy 110.6575 158.902256) + (xy 110.6575 158.414977) + (xy 110.674813 158.367411) + (xy 110.679164 158.362661) + (xy 110.704175 158.33765) + (xy 110.75005 158.316259) + (xy 110.798945 158.32936) + (xy 110.827979 158.370824) + (xy 110.8305 158.389976) + (xy 110.8305 158.902256) + (xy 110.833275 158.931849) + (xy 110.833275 158.931851) + (xy 110.833276 158.931854) + (xy 110.876882 159.056473) + (xy 110.901224 159.089455) + (xy 110.955289 159.162711) + (xy 111.033694 159.220576) + (xy 111.061526 159.241117) + (xy 111.186145 159.284723) + (xy 111.186151 159.284725) + (xy 111.215744 159.2875) + (xy 111.215751 159.2875) + (xy 111.796249 159.2875) + (xy 111.796256 159.2875) + (xy 111.825849 159.284725) + (xy 111.927753 159.249067) + (xy 111.950473 159.241117) + (xy 111.950473 159.241116) + (xy 111.950475 159.241116) + (xy 112.056711 159.162711) + (xy 112.135116 159.056475) + (xy 112.137126 159.050733) + (xy 112.172683 158.949115) + (xy 112.178725 158.931849) + (xy 112.1815 158.902256) + (xy 112.1815 158.414976) + (xy 112.198813 158.367411) + (xy 112.203174 158.362651) + (xy 112.228174 158.337651) + (xy 112.27405 158.316259) + (xy 112.322945 158.32936) + (xy 112.351979 158.370824) + (xy 112.3545 158.389976) + (xy 112.3545 158.902256) + (xy 112.357275 158.931849) + (xy 112.357275 158.931851) + (xy 112.357276 158.931854) + (xy 112.400882 159.056473) + (xy 112.425224 159.089455) + (xy 112.479289 159.162711) + (xy 112.557694 159.220576) + (xy 112.585526 159.241117) + (xy 112.710145 159.284723) + (xy 112.710151 159.284725) + (xy 112.739744 159.2875) + (xy 112.739751 159.2875) + (xy 113.320249 159.2875) + (xy 113.320256 159.2875) + (xy 113.349849 159.284725) + (xy 113.451753 159.249067) + (xy 113.474473 159.241117) + (xy 113.474473 159.241116) + (xy 113.474475 159.241116) + (xy 113.580711 159.162711) + (xy 113.659116 159.056475) + (xy 113.661126 159.050733) + (xy 113.696683 158.949115) + (xy 113.702725 158.931849) + (xy 113.7055 158.902256) + (xy 113.7055 158.8195) + (xy 113.722813 158.771934) + (xy 113.76665 158.746624) + (xy 113.7795 158.7455) + (xy 116.48 158.7455) + (xy 116.527566 158.762813) + (xy 116.552876 158.80665) + (xy 116.554 158.8195) + (xy 116.554 159.289746) + (xy 116.565633 159.348232) + (xy 116.571214 159.356584) + (xy 116.609948 159.414552) + (xy 116.65406 159.444027) + (xy 116.676267 159.458866) + (xy 116.676268 159.458866) + (xy 116.676269 159.458867) + (xy 116.734752 159.4705) + (xy 117.321447 159.4705) + (xy 117.369013 159.487813) + (xy 117.383146 159.499672) + (xy 117.385527 159.501853) + (xy 118.620326 160.736652) + (xy 118.641718 160.782528) + (xy 118.642 160.788978) + (xy 118.642 161.283021) + (xy 118.624687 161.330587) + (xy 118.620326 161.335347) + (xy 117.38303 162.572641) + (xy 117.38065 162.574822) + (xy 117.348808 162.601542) + (xy 117.348805 162.601545) + (xy 117.328019 162.637546) + (xy 117.326285 162.640268) + (xy 117.302447 162.674312) + (xy 117.302445 162.674317) + (xy 117.301201 162.678959) + (xy 117.293815 162.69679) + (xy 117.291412 162.700951) + (xy 117.291412 162.700952) + (xy 117.284193 162.741884) + (xy 117.283495 162.745033) + (xy 117.272735 162.785192) + (xy 117.272735 162.785194) + (xy 117.276359 162.826598) + (xy 117.2765 162.829825) + (xy 117.2765 163.4675) + (xy 117.259187 163.515066) + (xy 117.21535 163.540376) + (xy 117.2025 163.5415) + (xy 116.732252 163.5415) + (xy 116.70301 163.547316) + (xy 116.673767 163.553133) + (xy 116.607449 163.597447) + (xy 116.607447 163.597449) + (xy 116.563133 163.663767) + (xy 116.5515 163.722253) + (xy 116.5515 165.461746) + (xy 116.563133 165.520232) + (xy 116.585172 165.553214) + (xy 116.607448 165.586552) + (xy 116.65156 165.616027) + (xy 116.673767 165.630866) + (xy 116.673768 165.630866) + (xy 116.673769 165.630867) + (xy 116.732252 165.6425) + (xy 116.732254 165.6425) + (xy 118.471746 165.6425) + (xy 118.471748 165.6425) + (xy 118.530231 165.630867) + (xy 118.596552 165.586552) + (xy 118.640867 165.520231) + (xy 118.6525 165.461748) + (xy 118.6525 164.592) + (xy 119.086417 164.592) + (xy 119.1067 164.797935) + (xy 119.131618 164.880079) + (xy 119.162519 164.981948) + (xy 119.166769 164.995956) + (xy 119.264315 165.17845) + (xy 119.270138 165.185546) + (xy 119.39559 165.33841) + (xy 119.55555 165.469685) + (xy 119.738046 165.567232) + (xy 119.936066 165.6273) + (xy 120.142 165.647583) + (xy 120.347934 165.6273) + (xy 120.545954 165.567232) + (xy 120.72845 165.469685) + (xy 120.88841 165.33841) + (xy 121.019685 165.17845) + (xy 121.117232 164.995954) + (xy 121.1773 164.797934) + (xy 121.197583 164.592) + (xy 121.626417 164.592) + (xy 121.6467 164.797935) + (xy 121.671618 164.880079) + (xy 121.702519 164.981948) + (xy 121.706769 164.995956) + (xy 121.804315 165.17845) + (xy 121.810138 165.185546) + (xy 121.93559 165.33841) + (xy 122.09555 165.469685) + (xy 122.278046 165.567232) + (xy 122.476066 165.6273) + (xy 122.682 165.647583) + (xy 122.887934 165.6273) + (xy 123.085954 165.567232) + (xy 123.26845 165.469685) + (xy 123.42841 165.33841) + (xy 123.559685 165.17845) + (xy 123.657232 164.995954) + (xy 123.7173 164.797934) + (xy 123.737583 164.592) + (xy 124.166417 164.592) + (xy 124.1867 164.797935) + (xy 124.211618 164.880079) + (xy 124.242519 164.981948) + (xy 124.246769 164.995956) + (xy 124.344315 165.17845) + (xy 124.350138 165.185546) + (xy 124.47559 165.33841) + (xy 124.63555 165.469685) + (xy 124.818046 165.567232) + (xy 125.016066 165.6273) + (xy 125.222 165.647583) + (xy 125.427934 165.6273) + (xy 125.625954 165.567232) + (xy 125.80845 165.469685) + (xy 125.96841 165.33841) + (xy 126.099685 165.17845) + (xy 126.197232 164.995954) + (xy 126.2573 164.797934) + (xy 126.277583 164.592) + (xy 126.706417 164.592) + (xy 126.7267 164.797935) + (xy 126.751618 164.880079) + (xy 126.782519 164.981948) + (xy 126.786769 164.995956) + (xy 126.884315 165.17845) + (xy 126.890138 165.185546) + (xy 127.01559 165.33841) + (xy 127.17555 165.469685) + (xy 127.358046 165.567232) + (xy 127.556066 165.6273) + (xy 127.762 165.647583) + (xy 127.967934 165.6273) + (xy 128.165954 165.567232) + (xy 128.34845 165.469685) + (xy 128.50841 165.33841) + (xy 128.639685 165.17845) + (xy 128.737232 164.995954) + (xy 128.7973 164.797934) + (xy 128.797884 164.792) + (xy 129.064723 164.792) + (xy 129.066287 164.809886) + (xy 129.066288 164.809893) + (xy 129.122895 165.021153) + (xy 129.215334 165.219388) + (xy 129.340785 165.398551) + (xy 129.495448 165.553214) + (xy 129.674611 165.678665) + (xy 129.872846 165.771104) + (xy 130.084106 165.827711) + (xy 130.084113 165.827712) + (xy 130.101998 165.829277) + (xy 130.101999 165.829276) + (xy 130.101999 165.050335) + (xy 130.159685 165.07668) + (xy 130.266237 165.092) + (xy 130.337763 165.092) + (xy 130.444315 165.07668) + (xy 130.502 165.050335) + (xy 130.502 165.829276) + (xy 130.519886 165.827712) + (xy 130.519893 165.827711) + (xy 130.731153 165.771104) + (xy 130.929388 165.678665) + (xy 131.108551 165.553214) + (xy 131.263214 165.398551) + (xy 131.388665 165.219388) + (xy 131.481104 165.021153) + (xy 131.537711 164.809893) + (xy 131.537712 164.809886) + (xy 131.539277 164.792) + (xy 130.764383 164.792) + (xy 130.802 164.663889) + (xy 130.802 164.520111) + (xy 130.764383 164.392) + (xy 131.539277 164.392) + (xy 131.537712 164.374113) + (xy 131.537711 164.374106) + (xy 131.481105 164.162849) + (xy 131.4811 164.162838) + (xy 131.388665 163.964612) + (xy 131.263212 163.785445) + (xy 131.108551 163.630785) + (xy 131.108552 163.630785) + (xy 130.929388 163.505334) + (xy 130.731153 163.412895) + (xy 130.519896 163.356288) + (xy 130.502 163.354722) + (xy 130.502 164.133664) + (xy 130.444315 164.10732) + (xy 130.337763 164.092) + (xy 130.266237 164.092) + (xy 130.159685 164.10732) + (xy 130.101999 164.133664) + (xy 130.101999 163.354722) + (xy 130.084113 163.356288) + (xy 129.872841 163.412897) + (xy 129.872838 163.412899) + (xy 129.674612 163.505334) + (xy 129.495445 163.630787) + (xy 129.340787 163.785445) + (xy 129.215334 163.964612) + (xy 129.122899 164.162838) + (xy 129.122894 164.162849) + (xy 129.066288 164.374106) + (xy 129.066287 164.374113) + (xy 129.064723 164.392) + (xy 129.839617 164.392) + (xy 129.802 164.520111) + (xy 129.802 164.663889) + (xy 129.839617 164.792) + (xy 129.064723 164.792) + (xy 128.797884 164.792) + (xy 128.817583 164.592) + (xy 128.7973 164.386066) + (xy 128.737232 164.188046) + (xy 128.639685 164.00555) + (xy 128.50841 163.84559) + (xy 128.484768 163.826188) + (xy 128.34845 163.714315) + (xy 128.165956 163.616769) + (xy 128.165955 163.616768) + (xy 128.165954 163.616768) + (xy 128.054158 163.582855) + (xy 127.967935 163.5567) + (xy 127.864966 163.546558) + (xy 127.762 163.536417) + (xy 127.761999 163.536417) + (xy 127.556064 163.5567) + (xy 127.358043 163.616769) + (xy 127.175549 163.714315) + (xy 127.01559 163.845589) + (xy 127.015589 163.84559) + (xy 126.884315 164.005549) + (xy 126.786769 164.188043) + (xy 126.7267 164.386064) + (xy 126.706417 164.592) + (xy 126.277583 164.592) + (xy 126.2573 164.386066) + (xy 126.197232 164.188046) + (xy 126.099685 164.00555) + (xy 125.96841 163.84559) + (xy 125.944768 163.826188) + (xy 125.80845 163.714315) + (xy 125.625956 163.616769) + (xy 125.625955 163.616768) + (xy 125.625954 163.616768) + (xy 125.514158 163.582855) + (xy 125.427935 163.5567) + (xy 125.324967 163.546558) + (xy 125.222 163.536417) + (xy 125.221999 163.536417) + (xy 125.016064 163.5567) + (xy 124.818043 163.616769) + (xy 124.635549 163.714315) + (xy 124.47559 163.845589) + (xy 124.475589 163.84559) + (xy 124.344315 164.005549) + (xy 124.246769 164.188043) + (xy 124.1867 164.386064) + (xy 124.166417 164.592) + (xy 123.737583 164.592) + (xy 123.7173 164.386066) + (xy 123.657232 164.188046) + (xy 123.644454 164.164141) + (xy 123.637301 164.114032) + (xy 123.657389 164.076935) + (xy 125.335152 162.399174) + (xy 125.38103 162.377782) + (xy 125.387479 162.3775) + (xy 127.987525 162.3775) + (xy 130.774358 162.3775) + (xy 130.821924 162.394813) + (xy 130.833066 162.406452) + (xy 130.889715 162.480279) + (xy 130.88972 162.480284) + (xy 130.9511 162.527382) + (xy 131.015159 162.576536) + (xy 131.161238 162.637044) + (xy 131.318 162.657682) + (xy 131.474762 162.637044) + (xy 131.620841 162.576536) + (xy 131.746282 162.480282) + (xy 131.842536 162.354841) + (xy 131.903044 162.208762) + (xy 131.923682 162.052) + (xy 131.903044 161.895238) + (xy 131.842536 161.749159) + (xy 131.77975 161.667334) + (xy 131.746284 161.62372) + (xy 131.746279 161.623715) + (xy 131.620841 161.527464) + (xy 131.474761 161.466955) + (xy 131.338637 161.449034) + (xy 131.318 161.446318) + (xy 131.317999 161.446318) + (xy 131.161239 161.466955) + (xy 131.161238 161.466955) + (xy 131.015158 161.527464) + (xy 130.88972 161.623715) + (xy 130.889715 161.62372) + (xy 130.833066 161.697548) + (xy 130.790375 161.724746) + (xy 130.774358 161.7265) + (xy 125.237814 161.7265) + (xy 125.234593 161.726359) + (xy 125.225597 161.725572) + (xy 125.193194 161.722736) + (xy 125.193192 161.722736) + (xy 125.153045 161.733493) + (xy 125.149895 161.734191) + (xy 125.108959 161.74141) + (xy 125.108953 161.741412) + (xy 125.104788 161.743817) + (xy 125.086956 161.751203) + (xy 125.082315 161.752447) + (xy 125.082314 161.752447) + (xy 125.04827 161.776285) + (xy 125.045547 161.77802) + (xy 125.009546 161.798805) + (xy 124.982832 161.830639) + (xy 124.980651 161.83302) + (xy 123.197065 163.616607) + (xy 123.151189 163.637999) + (xy 123.109857 163.629544) + (xy 123.085956 163.616769) + (xy 123.085955 163.616768) + (xy 123.085954 163.616768) + (xy 122.974158 163.582855) + (xy 122.887935 163.5567) + (xy 122.682 163.536417) + (xy 122.476064 163.5567) + (xy 122.278043 163.616769) + (xy 122.095549 163.714315) + (xy 121.93559 163.845589) + (xy 121.935589 163.84559) + (xy 121.804315 164.005549) + (xy 121.706769 164.188043) + (xy 121.6467 164.386064) + (xy 121.626417 164.592) + (xy 121.197583 164.592) + (xy 121.1773 164.386066) + (xy 121.117232 164.188046) + (xy 121.019685 164.00555) + (xy 120.88841 163.84559) + (xy 120.864768 163.826188) + (xy 120.72845 163.714315) + (xy 120.545956 163.616769) + (xy 120.545955 163.616768) + (xy 120.545954 163.616768) + (xy 120.434158 163.582855) + (xy 120.347935 163.5567) + (xy 120.142 163.536417) + (xy 119.936064 163.5567) + (xy 119.738043 163.616769) + (xy 119.555549 163.714315) + (xy 119.39559 163.845589) + (xy 119.395589 163.84559) + (xy 119.264315 164.005549) + (xy 119.166769 164.188043) + (xy 119.1067 164.386064) + (xy 119.086417 164.592) + (xy 118.6525 164.592) + (xy 118.6525 163.722252) + (xy 118.640867 163.663769) + (xy 118.596552 163.597448) + (xy 118.574343 163.582608) + (xy 118.530232 163.553133) + (xy 118.530233 163.553133) + (xy 118.500989 163.547316) + (xy 118.471748 163.5415) + (xy 118.471746 163.5415) + (xy 118.0015 163.5415) + (xy 117.953934 163.524187) + (xy 117.928624 163.48035) + (xy 117.9275 163.4675) + (xy 117.9275 162.979477) + (xy 117.944813 162.931911) + (xy 117.949163 162.927162) + (xy 119.143152 161.733174) + (xy 119.189029 161.711782) + (xy 119.195478 161.7115) + (xy 119.732749 161.7115) + (xy 119.732756 161.7115) + (xy 119.762349 161.708725) + (xy 119.819032 161.68889) + (xy 119.886973 161.665117) + (xy 119.886973 161.665116) + (xy 119.886975 161.665116) + (xy 119.993211 161.586711) + (xy 120.071616 161.480475) + (xy 120.071616 161.480474) + (xy 120.074909 161.476013) + (xy 120.076895 161.477479) + (xy 120.107319 161.449921) + (xy 120.157901 161.448004) + (xy 120.197882 161.479049) + (xy 120.206305 161.497803) + (xy 120.21528 161.528693) + (xy 120.297272 161.667334) + (xy 120.411165 161.781227) + (xy 120.549804 161.863218) + (xy 120.704479 161.908155) + (xy 120.704476 161.908155) + (xy 120.740617 161.910999) + (xy 120.904999 161.910999) + (xy 120.904999 161.236) + (xy 121.305 161.236) + (xy 121.305 161.910999) + (xy 121.469382 161.910999) + (xy 121.505522 161.908155) + (xy 121.660195 161.863218) + (xy 121.798834 161.781227) + (xy 121.912727 161.667334) + (xy 121.994718 161.528695) + (xy 122.039655 161.374022) + (xy 122.0425 161.337882) + (xy 122.0425 161.236) + (xy 121.305 161.236) + (xy 120.904999 161.236) + (xy 120.905 160.161) + (xy 120.740618 160.161) + (xy 120.704477 160.163844) + (xy 120.549804 160.208781) + (xy 120.411165 160.290772) + (xy 120.297272 160.404665) + (xy 120.21528 160.543305) + (xy 120.206305 160.574197) + (xy 120.176408 160.615043) + (xy 120.12725 160.627116) + (xy 120.081832 160.604766) + (xy 120.075291 160.595704) + (xy 120.074909 160.595987) + (xy 120.036028 160.543305) + (xy 119.993211 160.485289) + (xy 119.931368 160.439647) + (xy 119.886973 160.406882) + (xy 119.762354 160.363276) + (xy 119.762355 160.363276) + (xy 119.76235 160.363275) + (xy 119.762349 160.363275) + (xy 119.732756 160.3605) + (xy 119.73275 160.3605) + (xy 119.195479 160.3605) + (xy 119.147913 160.343187) + (xy 119.143153 160.338826) + (xy 118.775387 159.97106) + (xy 118.401151 159.596825) + (xy 118.37976 159.55095) + (xy 118.392861 159.502055) + (xy 118.434325 159.473022) + (xy 118.453478 159.4705) + (xy 118.474246 159.4705) + (xy 118.474248 159.4705) + (xy 118.532731 159.458867) + (xy 118.599052 159.414552) + (xy 118.643367 159.348231) + (xy 118.655 159.289748) + (xy 118.655 157.550252) + (xy 118.643367 157.491769) + (xy 118.599052 157.425448) + (xy 118.576843 157.410608) + (xy 118.532732 157.381133) + (xy 118.532733 157.381133) + (xy 118.503489 157.375316) + (xy 118.474248 157.3695) + (xy 116.734752 157.3695) + (xy 116.70551 157.375316) + (xy 116.676267 157.381133) + (xy 116.609949 157.425447) + (xy 116.609947 157.425449) + (xy 116.565633 157.491767) + (xy 116.554 157.550253) + (xy 116.554 158.0205) + (xy 116.536687 158.068066) + (xy 116.49285 158.093376) + (xy 116.48 158.0945) + (xy 113.661029 158.0945) + (xy 113.613463 158.077187) + (xy 113.601489 158.064443) + (xy 113.580711 158.03629) + (xy 113.580711 158.036289) + (xy 113.58071 158.036288) + (xy 113.580706 158.036284) + (xy 113.474476 157.957884) + (xy 113.474474 157.957883) + (xy 113.349854 157.914276) + (xy 113.349855 157.914276) + (xy 113.34985 157.914275) + (xy 113.349849 157.914275) + (xy 113.320256 157.9115) + (xy 112.832977 157.9115) + (xy 112.785411 157.894187) + (xy 112.760101 157.85035) + (xy 112.768891 157.8005) + (xy 112.780651 157.785174) + (xy 113.121057 157.444769) + (xy 113.58891 156.976915) + (xy 113.634788 156.955523) + (xy 113.641237 156.955241) + (xy 117.063628 156.955241) + (xy 117.066848 156.955381) + (xy 117.093 156.957669) + (xy 117.108259 156.959005) + (xy 117.108259 156.959004) + (xy 117.108261 156.959005) + (xy 117.148421 156.948243) + (xy 117.151554 156.947548) + (xy 117.192499 156.940329) + (xy 117.196648 156.937932) + (xy 117.214509 156.930535) + (xy 117.219138 156.929295) + (xy 117.253186 156.905453) + (xy 117.255904 156.903721) + (xy 117.291909 156.882935) + (xy 117.318641 156.851075) + (xy 117.320802 156.848717) + (xy 120.424175 153.745345) + (xy 120.47005 153.723954) + (xy 120.518945 153.737055) + (xy 120.547979 153.778519) + (xy 120.5505 153.797672) + (xy 120.5505 154.055673) + (xy 120.550359 154.0589) + (xy 120.546735 154.100305) + (xy 120.546735 154.100306) + (xy 120.557495 154.140464) + (xy 120.558193 154.143614) + (xy 120.565411 154.184544) + (xy 120.567811 154.1887) + (xy 120.575203 154.206547) + (xy 120.576445 154.211182) + (xy 120.576446 154.211185) + (xy 120.600288 154.245236) + (xy 120.602022 154.247958) + (xy 120.610812 154.263181) + (xy 120.622806 154.283955) + (xy 120.622808 154.283957) + (xy 120.622807 154.283957) + (xy 120.639938 154.29833) + (xy 120.654658 154.310682) + (xy 120.657027 154.312853) + (xy 124.249107 157.904933) + (xy 124.270499 157.950809) + (xy 124.262045 157.992139) + (xy 124.249269 158.016042) + (xy 124.1892 158.214064) + (xy 124.168917 158.419999) + (xy 124.1892 158.625935) + (xy 124.215355 158.712158) + (xy 124.22581 158.746624) + (xy 124.249269 158.823956) + (xy 124.346815 159.00645) + (xy 124.436858 159.116169) + (xy 124.47809 159.16641) + (xy 124.63805 159.297685) + (xy 124.820546 159.395232) + (xy 125.018566 159.4553) + (xy 125.2245 159.475583) + (xy 125.430434 159.4553) + (xy 125.628454 159.395232) + (xy 125.81095 159.297685) + (xy 125.97091 159.16641) + (xy 126.102185 159.00645) + (xy 126.199732 158.823954) + (xy 126.2598 158.625934) + (xy 126.280083 158.42) + (xy 126.2598 158.214066) + (xy 126.199732 158.016046) + (xy 126.102185 157.83355) + (xy 125.97091 157.67359) + (xy 125.81095 157.542315) + (xy 125.665738 157.464697) + (xy 125.628456 157.444769) + (xy 125.628455 157.444768) + (xy 125.628454 157.444768) + (xy 125.516658 157.410855) + (xy 125.430435 157.3847) + (xy 125.327467 157.374558) + (xy 125.2245 157.364417) + (xy 125.224499 157.364417) + (xy 125.018564 157.3847) + (xy 124.820542 157.444769) + (xy 124.796639 157.457545) + (xy 124.746528 157.464697) + (xy 124.709433 157.444607) + (xy 121.223174 153.958348) + (xy 121.201782 153.912472) + (xy 121.2015 153.906022) + (xy 121.2015 150.066584) + (xy 121.218813 150.019018) + (xy 121.26265 149.993708) + (xy 121.3125 150.002498) + (xy 121.343867 150.038265) + (xy 121.395468 150.162841) + (xy 121.491719 150.288279) + (xy 121.491724 150.288284) + (xy 121.544105 150.328477) + (xy 121.617163 150.384536) + (xy 121.763242 150.445044) + (xy 121.920004 150.465682) + (xy 122.076766 150.445044) + (xy 122.222845 150.384536) + (xy 122.348286 150.288282) + (xy 122.44454 150.162841) + (xy 122.505048 150.016762) + (xy 122.525686 149.86) + (xy 122.505048 149.703238) + (xy 122.44454 149.557159) + (xy 122.367091 149.456225) + (xy 122.351869 149.407949) + (xy 122.37124 149.361183) + (xy 122.37345 149.358874) + (xy 122.953151 148.779174) + (xy 122.999028 148.757782) + (xy 123.005477 148.7575) + (xy 123.696249 148.7575) + (xy 123.696256 148.7575) + (xy 123.725849 148.754725) + (xy 123.825158 148.719975) + (xy 123.850473 148.711117) + (xy 123.850473 148.711116) + (xy 123.850475 148.711116) + (xy 123.956711 148.632711) + (xy 124.035116 148.526475) + (xy 124.035116 148.526474) + (xy 124.038409 148.522013) + (xy 124.040395 148.523479) + (xy 124.070819 148.495921) + (xy 124.121401 148.494004) + (xy 124.161382 148.525049) + (xy 124.169805 148.543803) + (xy 124.17878 148.574693) + (xy 124.260772 148.713334) + (xy 124.374665 148.827227) + (xy 124.513304 148.909218) + (xy 124.667979 148.954155) + (xy 124.667976 148.954155) + (xy 124.704117 148.956999) + (xy 124.8685 148.956999) + (xy 124.8685 148.282) + (xy 125.2685 148.282) + (xy 125.2685 148.956999) + (xy 125.432882 148.956999) + (xy 125.469022 148.954155) + (xy 125.623695 148.909218) + (xy 125.762334 148.827227) + (xy 125.876227 148.713334) + (xy 125.958218 148.574695) + (xy 126.003155 148.420022) + (xy 126.006 148.383882) + (xy 126.006 148.282) + (xy 125.2685 148.282) + (xy 124.8685 148.282) + (xy 124.8685 147.290715) + (xy 124.885428 147.244206) + (xy 124.885329 147.24413) + (xy 124.88557 147.243815) + (xy 124.885813 147.243149) + (xy 124.887467 147.241343) + (xy 124.888279 147.240283) + (xy 124.888282 147.240282) + (xy 124.91382 147.207) + (xy 125.2685 147.207) + (xy 125.2685 147.882) + (xy 126.005999 147.882) + (xy 126.005999 147.780118) + (xy 126.003155 147.743977) + (xy 125.958218 147.589304) + (xy 125.876227 147.450665) + (xy 125.762334 147.336772) + (xy 125.623695 147.254781) + (xy 125.46902 147.209844) + (xy 125.469023 147.209844) + (xy 125.432882 147.207) + (xy 125.2685 147.207) + (xy 124.91382 147.207) + (xy 124.984536 147.114841) + (xy 125.045044 146.968762) + (xy 125.065682 146.812) + (xy 125.045044 146.655238) + (xy 124.984536 146.509159) + (xy 124.888282 146.383718) + (xy 124.888279 146.383715) + (xy 124.762841 146.287464) + (xy 124.616761 146.226955) + (xy 124.46 146.206318) + (xy 124.303239 146.226955) + (xy 124.303238 146.226955) + (xy 124.157158 146.287464) + (xy 124.03172 146.383715) + (xy 124.031715 146.38372) + (xy 123.935464 146.509158) + (xy 123.874955 146.655238) + (xy 123.874955 146.655239) + (xy 123.854318 146.812) + (xy 123.866464 146.904265) + (xy 123.855508 146.953684) + (xy 123.845423 146.966249) + (xy 123.667594 147.14408) + (xy 123.426848 147.384826) + (xy 123.380972 147.406218) + (xy 123.374522 147.4065) + (xy 122.990744 147.4065) + (xy 122.969606 147.408482) + (xy 122.961149 147.409275) + (xy 122.961145 147.409276) + (xy 122.911661 147.426591) + (xy 122.861046 147.425959) + (xy 122.834896 147.409069) + (xy 122.248173 146.822346) + (xy 122.226781 146.77647) + (xy 122.226499 146.77002) + (xy 122.226499 146.220869) + (xy 122.226498 146.220862) + (xy 122.210515 146.140505) + (xy 122.149624 146.049376) + (xy 122.058495 145.988485) + (xy 121.978133 145.9725) + (xy 121.978131 145.9725) + (xy 121.875154 145.9725) + (xy 121.827588 145.955187) + (xy 121.815913 145.942846) + (xy 121.79033 145.908671) + (xy 121.680983 145.826814) + (xy 121.680982 145.826813) + (xy 121.553009 145.779082) + (xy 121.526 145.776178) + (xy 121.525999 145.776178) + (xy 121.526 146.213966) + (xy 121.525822 146.217592) + (xy 121.5255 146.220862) + (xy 121.5255 147.600131) + (xy 121.525822 147.603386) + (xy 121.526 147.607016) + (xy 121.526 148.04482) + (xy 121.553009 148.041917) + (xy 121.680982 147.994186) + (xy 121.680983 147.994185) + (xy 121.79033 147.912329) + (xy 121.790332 147.912327) + (xy 121.815915 147.878153) + (xy 121.858279 147.850449) + (xy 121.87515 147.848499) + (xy 121.978132 147.848499) + (xy 122.058495 147.832515) + (xy 122.149624 147.771624) + (xy 122.150462 147.770368) + (xy 122.152025 147.769222) + (xy 122.154778 147.76647) + (xy 122.155201 147.766893) + (xy 122.191278 147.740433) + (xy 122.241789 147.743737) + (xy 122.264321 147.759148) + (xy 122.583825 148.078652) + (xy 122.605217 148.124528) + (xy 122.605499 148.130978) + (xy 122.605499 148.175522) + (xy 122.588186 148.223088) + (xy 122.583825 148.227848) + (xy 121.701034 149.110637) + (xy 121.698654 149.112818) + (xy 121.666812 149.139538) + (xy 121.666809 149.139541) + (xy 121.646023 149.175542) + (xy 121.644289 149.178264) + (xy 121.620451 149.212308) + (xy 121.620449 149.212313) + (xy 121.619205 149.216955) + (xy 121.611819 149.234786) + (xy 121.609416 149.238947) + (xy 121.609416 149.238948) + (xy 121.602197 149.27988) + (xy 121.601499 149.28303) + (xy 121.590739 149.323188) + (xy 121.590524 149.325652) + (xy 121.58948 149.327889) + (xy 121.589065 149.32944) + (xy 121.588791 149.329366) + (xy 121.569126 149.371526) + (xy 121.561855 149.377901) + (xy 121.491724 149.431716) + (xy 121.491717 149.431722) + (xy 121.395468 149.557158) + (xy 121.343867 149.681734) + (xy 121.309669 149.719054) + (xy 121.259483 149.725661) + (xy 121.216792 149.698463) + (xy 121.2015 149.653415) + (xy 121.2015 148.099827) + (xy 121.218813 148.052261) + (xy 121.225999 148.044826) + (xy 121.225999 147.607029) + (xy 121.226178 147.603391) + (xy 121.226497 147.600145) + (xy 121.2265 147.600133) + (xy 121.226499 146.220868) + (xy 121.226499 146.220867) + (xy 121.226499 146.220863) + (xy 121.226178 146.217603) + (xy 121.226 146.213977) + (xy 121.226 145.754515) + (xy 121.224353 145.751427) + (xy 121.229254 145.712724) + (xy 121.235038 145.698762) + (xy 121.255676 145.542) + (xy 121.235038 145.385238) + (xy 121.17453 145.239159) + (xy 121.123381 145.1725) + (xy 121.078278 145.11372) + (xy 121.078273 145.113715) + (xy 120.952835 145.017464) + (xy 120.806755 144.956955) + (xy 120.659025 144.937507) + (xy 120.649994 144.936318) + (xy 120.649993 144.936318) + (xy 120.557727 144.948464) + (xy 120.508308 144.937507) + (xy 120.495743 144.927423) + (xy 119.193611 143.625291) + (xy 119.172219 143.579415) + (xy 119.171937 143.572965) + (xy 119.171937 142.960477) + (xy 119.18925 142.912911) + (xy 119.233087 142.887601) + (xy 119.282937 142.896391) + (xy 119.314304 142.932159) + (xy 119.363463 143.05084) + (xy 119.459715 143.176279) + (xy 119.45972 143.176284) + (xy 119.516734 143.220032) + (xy 119.585159 143.272536) + (xy 119.731238 143.333044) + (xy 119.888 143.353682) + (xy 120.044762 143.333044) + (xy 120.190841 143.272536) + (xy 120.316282 143.176282) + (xy 120.412536 143.050841) + (xy 120.473044 142.904762) + (xy 120.492974 142.753371) + (xy 120.514014 142.710707) + (xy 121.379547 141.845174) + (xy 121.425425 141.823782) + (xy 121.431874 141.8235) + (xy 122.2765 141.8235) + (xy 122.324066 141.840813) + (xy 122.349376 141.88465) + (xy 122.3505 141.8975) + (xy 122.3505 142.10013) + (xy 122.366485 142.180495) + (xy 122.38412 142.206888) + (xy 122.396151 142.256057) + (xy 122.38412 142.289112) + (xy 122.366485 142.315504) + (xy 122.364895 142.3235) + (xy 122.354883 142.373835) + (xy 122.3505 142.395868) + (xy 122.3505 142.60013) + (xy 122.360126 142.648524) + (xy 122.366485 142.680495) + (xy 122.38412 142.706888) + (xy 122.396151 142.756055) + (xy 122.384121 142.78911) + (xy 122.366484 142.815505) + (xy 122.366485 142.815505) + (xy 122.360584 142.845174) + (xy 122.3505 142.895868) + (xy 122.3505 143.10013) + (xy 122.366485 143.180495) + (xy 122.38412 143.206888) + (xy 122.396151 143.256057) + (xy 122.38412 143.289112) + (xy 122.366485 143.315504) + (xy 122.3505 143.395868) + (xy 122.3505 143.60013) + (xy 122.366485 143.680495) + (xy 122.38412 143.706888) + (xy 122.396151 143.756057) + (xy 122.38412 143.789112) + (xy 122.366485 143.815504) + (xy 122.3505 143.895868) + (xy 122.3505 144.10013) + (xy 122.366485 144.180495) + (xy 122.38412 144.206888) + (xy 122.396151 144.256057) + (xy 122.38412 144.289112) + (xy 122.366485 144.315504) + (xy 122.3505 144.395868) + (xy 122.3505 144.60013) + (xy 122.35788 144.637231) + (xy 122.366485 144.680495) + (xy 122.38412 144.706888) + (xy 122.396151 144.756055) + (xy 122.384121 144.78911) + (xy 122.374797 144.803065) + (xy 122.366485 144.815505) + (xy 122.35098 144.893457) + (xy 122.3505 144.895868) + (xy 122.3505 145.10013) + (xy 122.366485 145.180495) + (xy 122.38412 145.206888) + (xy 122.396151 145.256057) + (xy 122.38412 145.289112) + (xy 122.366485 145.315504) + (xy 122.3505 145.395868) + (xy 122.3505 145.60013) + (xy 122.366485 145.680495) + (xy 122.366485 145.680496) + (xy 122.406986 145.741108) + (xy 122.427376 145.771624) + (xy 122.518505 145.832515) + (xy 122.598867 145.8485) + (xy 123.978132 145.848499) + (xy 124.058495 145.832515) + (xy 124.058498 145.832512) + (xy 124.065231 145.829725) + (xy 124.066198 145.832061) + (xy 124.094435 145.8235) + (xy 125.139522 145.8235) + (xy 125.187088 145.840813) + (xy 125.191848 145.845174) + (xy 127.414825 148.068151) + (xy 127.436217 148.114027) + (xy 127.436499 148.120477) + (xy 127.436499 149.082164) + (xy 127.436358 149.08539) + (xy 127.432735 149.126807) + (xy 127.443495 149.166964) + (xy 127.444193 149.170114) + (xy 127.451411 149.211044) + (xy 127.453811 149.2152) + (xy 127.461203 149.233047) + (xy 127.462445 149.237682) + (xy 127.462446 149.237685) + (xy 127.486288 149.271736) + (xy 127.488022 149.274458) + (xy 127.491153 149.27988) + (xy 127.508806 149.310455) + (xy 127.508808 149.310457) + (xy 127.508807 149.310457) + (xy 127.523982 149.323189) + (xy 127.540658 149.337182) + (xy 127.543027 149.339353) + (xy 128.684826 150.481152) + (xy 128.706218 150.527028) + (xy 128.7065 150.533478) + (xy 128.7065 157.705101) + (xy 128.689187 157.752667) + (xy 128.64535 157.777977) + (xy 128.5955 157.769187) + (xy 128.575297 157.752046) + (xy 128.51091 157.67359) + (xy 128.510909 157.673589) + (xy 128.35095 157.542315) + (xy 128.168456 157.444769) + (xy 128.168455 157.444768) + (xy 128.168454 157.444768) + (xy 128.056658 157.410855) + (xy 127.970435 157.3847) + (xy 127.7645 157.364417) + (xy 127.558564 157.3847) + (xy 127.360543 157.444769) + (xy 127.178049 157.542315) + (xy 127.01809 157.673589) + (xy 127.018089 157.67359) + (xy 126.886815 157.833549) + (xy 126.789269 158.016043) + (xy 126.789268 158.016045) + (xy 126.789268 158.016046) + (xy 126.787917 158.0205) + (xy 126.7292 158.214064) + (xy 126.708917 158.419999) + (xy 126.7292 158.625935) + (xy 126.755355 158.712158) + (xy 126.76581 158.746624) + (xy 126.789269 158.823956) + (xy 126.886815 159.00645) + (xy 126.976858 159.116169) + (xy 127.01809 159.16641) + (xy 127.17805 159.297685) + (xy 127.360546 159.395232) + (xy 127.558566 159.4553) + (xy 127.7645 159.475583) + (xy 127.790059 159.473065) + (xy 127.839091 159.485632) + (xy 127.868576 159.526777) + (xy 127.864715 159.577248) + (xy 127.849637 159.599035) + (xy 126.886848 160.561826) + (xy 126.840972 160.583218) + (xy 126.834522 160.5835) + (xy 122.798978 160.5835) + (xy 122.751412 160.566187) + (xy 122.746652 160.561826) + (xy 121.119892 158.935066) + (xy 121.0985 158.88919) + (xy 121.106956 158.847856) + (xy 121.10862 158.844741) + (xy 121.119732 158.823954) + (xy 121.1798 158.625934) + (xy 121.180384 158.62) + (xy 121.447223 158.62) + (xy 121.448787 158.637886) + (xy 121.448788 158.637893) + (xy 121.505395 158.849153) + (xy 121.597834 159.047388) + (xy 121.723285 159.226551) + (xy 121.877948 159.381214) + (xy 122.057111 159.506665) + (xy 122.255346 159.599104) + (xy 122.466606 159.655711) + (xy 122.466613 159.655712) + (xy 122.484498 159.657277) + (xy 122.484499 159.657276) + (xy 122.484499 158.878335) + (xy 122.542185 158.90468) + (xy 122.648737 158.92) + (xy 122.720263 158.92) + (xy 122.826815 158.90468) + (xy 122.8845 158.878335) + (xy 122.8845 159.657276) + (xy 122.902386 159.655712) + (xy 122.902393 159.655711) + (xy 123.113653 159.599104) + (xy 123.311888 159.506665) + (xy 123.491051 159.381214) + (xy 123.645714 159.226551) + (xy 123.771165 159.047388) + (xy 123.863604 158.849153) + (xy 123.920211 158.637893) + (xy 123.920212 158.637886) + (xy 123.921777 158.62) + (xy 123.146883 158.62) + (xy 123.1845 158.491889) + (xy 123.1845 158.348111) + (xy 123.146883 158.22) + (xy 123.921777 158.22) + (xy 123.920212 158.202113) + (xy 123.920211 158.202106) + (xy 123.863605 157.990849) + (xy 123.8636 157.990838) + (xy 123.771165 157.792612) + (xy 123.645712 157.613445) + (xy 123.491051 157.458785) + (xy 123.491052 157.458785) + (xy 123.311888 157.333334) + (xy 123.113653 157.240895) + (xy 122.902396 157.184288) + (xy 122.8845 157.182722) + (xy 122.8845 157.961664) + (xy 122.826815 157.93532) + (xy 122.720263 157.92) + (xy 122.648737 157.92) + (xy 122.542185 157.93532) + (xy 122.484499 157.961664) + (xy 122.484499 157.182722) + (xy 122.466613 157.184288) + (xy 122.255341 157.240897) + (xy 122.255338 157.240899) + (xy 122.057112 157.333334) + (xy 121.877945 157.458787) + (xy 121.723287 157.613445) + (xy 121.597834 157.792612) + (xy 121.505399 157.990838) + (xy 121.505394 157.990849) + (xy 121.448788 158.202106) + (xy 121.448787 158.202113) + (xy 121.447223 158.22) + (xy 122.222117 158.22) + (xy 122.1845 158.348111) + (xy 122.1845 158.491889) + (xy 122.222117 158.62) + (xy 121.447223 158.62) + (xy 121.180384 158.62) + (xy 121.200083 158.42) + (xy 121.1798 158.214066) + (xy 121.119732 158.016046) + (xy 121.022185 157.83355) + (xy 120.89091 157.67359) + (xy 120.73095 157.542315) + (xy 120.585738 157.464697) + (xy 120.548456 157.444769) + (xy 120.548455 157.444768) + (xy 120.548454 157.444768) + (xy 120.436658 157.410855) + (xy 120.350435 157.3847) + (xy 120.247467 157.374558) + (xy 120.1445 157.364417) + (xy 120.144499 157.364417) + (xy 119.938564 157.3847) + (xy 119.740543 157.444769) + (xy 119.558049 157.542315) + (xy 119.39809 157.673589) + (xy 119.398089 157.67359) + (xy 119.266815 157.833549) + (xy 119.169269 158.016043) + (xy 119.169268 158.016045) + (xy 119.169268 158.016046) + (xy 119.167917 158.0205) + (xy 119.1092 158.214064) + (xy 119.088917 158.42) + (xy 119.1092 158.625935) + (xy 119.135355 158.712158) + (xy 119.14581 158.746624) + (xy 119.169269 158.823956) + (xy 119.266815 159.00645) + (xy 119.356858 159.116169) + (xy 119.39809 159.16641) + (xy 119.55805 159.297685) + (xy 119.740546 159.395232) + (xy 119.938566 159.4553) + (xy 120.1445 159.475583) + (xy 120.350434 159.4553) + (xy 120.548454 159.395232) + (xy 120.572355 159.382456) + (xy 120.622467 159.375301) + (xy 120.659566 159.395392) + (xy 121.31276 160.048587) + (xy 121.334152 160.094464) + (xy 121.321051 160.143358) + (xy 121.312761 160.153238) + (xy 121.305 160.160999) + (xy 121.305 160.836) + (xy 122.069522 160.836) + (xy 122.117088 160.853313) + (xy 122.121848 160.857674) + (xy 122.392147 161.127973) + (xy 122.394319 161.130343) + (xy 122.421045 161.162194) + (xy 122.457046 161.182979) + (xy 122.45977 161.184715) + (xy 122.493814 161.208553) + (xy 122.493816 161.208554) + (xy 122.498449 161.209795) + (xy 122.516295 161.217186) + (xy 122.520455 161.219588) + (xy 122.520458 161.219588) + (xy 122.520459 161.219589) + (xy 122.520458 161.219589) + (xy 122.561388 161.226806) + (xy 122.564538 161.227504) + (xy 122.604693 161.238264) + (xy 122.604693 161.238263) + (xy 122.604694 161.238264) + (xy 122.61254 161.237577) + (xy 122.64611 161.23464) + (xy 122.649329 161.2345) + (xy 126.984174 161.2345) + (xy 126.987394 161.23464) + (xy 127.013546 161.236928) + (xy 127.028805 161.238264) + (xy 127.028805 161.238263) + (xy 127.028807 161.238264) + (xy 127.068967 161.227502) + (xy 127.0721 161.226807) + (xy 127.113045 161.219588) + (xy 127.117194 161.217191) + (xy 127.135055 161.209794) + (xy 127.135059 161.209793) + (xy 127.139684 161.208554) + (xy 127.173732 161.184712) + (xy 127.17645 161.18298) + (xy 127.212455 161.162194) + (xy 127.239187 161.130334) + (xy 127.241352 161.127973) + (xy 129.250983 159.118341) + (xy 129.253329 159.116191) + (xy 129.285194 159.089455) + (xy 129.30598 159.05345) + (xy 129.307712 159.050733) + (xy 129.331553 159.016685) + (xy 129.331554 159.016684) + (xy 129.332794 159.012054) + (xy 129.340193 158.994192) + (xy 129.342588 158.990045) + (xy 129.349807 158.9491) + (xy 129.350504 158.94596) + (xy 129.361264 158.905807) + (xy 129.361165 158.90468) + (xy 129.360834 158.900892) + (xy 129.357638 158.864371) + (xy 129.3575 158.861195) + (xy 129.3575 150.383817) + (xy 129.357641 150.38059) + (xy 129.361263 150.339194) + (xy 129.361263 150.339193) + (xy 129.361262 150.339192) + (xy 129.3505 150.299028) + (xy 129.349809 150.295915) + (xy 129.342588 150.254955) + (xy 129.340189 150.2508) + (xy 129.332794 150.232945) + (xy 129.331554 150.228317) + (xy 129.331553 150.228314) + (xy 129.307716 150.194272) + (xy 129.30598 150.191548) + (xy 129.285194 150.155545) + (xy 129.285193 150.155544) + (xy 129.253353 150.128826) + (xy 129.250972 150.126645) + (xy 129.090171 149.965844) + (xy 129.068779 149.919968) + (xy 129.08188 149.871073) + (xy 129.123344 149.842039) + (xy 129.163974 149.842703) + (xy 129.334066 149.8943) + (xy 129.54 149.914583) + (xy 129.745934 149.8943) + (xy 129.943954 149.834232) + (xy 129.967855 149.821456) + (xy 130.017967 149.814301) + (xy 130.055065 149.834391) + (xy 141.732 161.511326) + (xy 141.732 163.623674) + (xy 141.330575 163.222249) + (xy 141.309183 163.176373) + (xy 141.309533 163.160269) + (xy 141.321682 163.068) + (xy 141.301044 162.911238) + (xy 141.240536 162.765159) + (xy 141.163266 162.664458) + (xy 141.144284 162.63972) + (xy 141.144279 162.639715) + (xy 141.018841 162.543464) + (xy 140.872761 162.482955) + (xy 140.727416 162.463821) + (xy 140.716 162.462318) + (xy 140.715999 162.462318) + (xy 140.559239 162.482955) + (xy 140.559238 162.482955) + (xy 140.413158 162.543464) + (xy 140.28772 162.639715) + (xy 140.287715 162.63972) + (xy 140.191464 162.765158) + (xy 140.130955 162.911238) + (xy 140.130955 162.911239) + (xy 140.110318 163.068) + (xy 140.130955 163.22476) + (xy 140.130955 163.224761) + (xy 140.191464 163.370841) + (xy 140.287715 163.496279) + (xy 140.28772 163.496284) + (xy 140.346647 163.5415) + (xy 140.413159 163.592536) + (xy 140.559238 163.653044) + (xy 140.716 163.673682) + (xy 140.808264 163.661534) + (xy 140.857682 163.67249) + (xy 140.870249 163.682575) + (xy 141.732 164.544326) + (xy 141.732 166.9995) + (xy 114.890299 166.9995) + (xy 114.842733 166.982187) + (xy 114.817423 166.93835) + (xy 114.826213 166.8885) + (xy 114.864599 166.856106) + (xy 114.940665 166.827934) + (xy 115.11326 166.720355) + (xy 115.260671 166.580231) + (xy 115.376857 166.413302) + (xy 115.376857 166.413301) + (xy 115.457058 166.226411) + (xy 115.457061 166.226401) + (xy 115.497999 166.027196) + (xy 115.498 166.027188) + (xy 115.498 165.7755) + (xy 114.798 165.7755) + (xy 114.798 165.3755) + (xy 115.498 165.3755) + (xy 115.498 165.174789) + (xy 115.497999 165.17478) + (xy 115.482582 165.023166) + (xy 115.48258 165.023161) + (xy 115.421699 164.829115) + (xy 115.421698 164.829114) + (xy 115.322993 164.651282) + (xy 115.322989 164.651277) + (xy 115.190522 164.496971) + (xy 115.19052 164.496968) + (xy 115.029696 164.372482) + (xy 115.029694 164.37248) + (xy 114.847097 164.282913) + (xy 114.847092 164.282911) + (xy 114.698 164.244308) + (xy 114.697999 165.0006) + (xy 114.634038 164.952299) + (xy 114.52616 164.921605) + (xy 114.414479 164.931954) + (xy 114.314078 164.981948) + (xy 114.297999 164.999584) + (xy 114.298 164.2255) + (xy 114.098 164.2255) + (xy 114.098 165.7015) + (xy 114.080687 165.749066) + (xy 114.03685 165.774376) + (xy 114.024 165.7755) + (xy 107.972 165.7755) + (xy 107.924434 165.758187) + (xy 107.899124 165.71435) + (xy 107.898 165.7015) + (xy 107.898 165.3755) + (xy 108.297999 165.3755) + (xy 109.798 165.3755) + (xy 109.798 165.375499) + (xy 109.797999 164.2255) + (xy 110.198 164.2255) + (xy 110.198 165.3755) + (xy 111.798 165.3755) + (xy 111.798 164.2255) + (xy 112.198 164.2255) + (xy 112.198 165.3755) + (xy 113.697999 165.3755) + (xy 113.698 164.2255) + (xy 113.266518 164.2255) + (xy 113.172852 164.240334) + (xy 113.056594 164.299571) + (xy 113.006352 164.305739) + (xy 112.989403 164.29957) + (xy 112.873149 164.240335) + (xy 112.779482 164.2255) + (xy 112.198 164.2255) + (xy 111.798 164.2255) + (xy 111.216518 164.2255) + (xy 111.122852 164.240334) + (xy 111.031594 164.286833) + (xy 110.981353 164.293001) + (xy 110.964403 164.286832) + (xy 110.873149 164.240335) + (xy 110.779482 164.2255) + (xy 110.198 164.2255) + (xy 109.797999 164.2255) + (xy 109.216518 164.2255) + (xy 109.122852 164.240334) + (xy 109.006594 164.299571) + (xy 108.956352 164.305739) + (xy 108.939403 164.29957) + (xy 108.823149 164.240335) + (xy 108.729482 164.2255) + (xy 108.298 164.2255) + (xy 108.297999 165.3755) + (xy 107.898 165.3755) + (xy 107.898 164.2255) + (xy 107.698 164.2255) + (xy 107.698 165.0006) + (xy 107.634038 164.952299) + (xy 107.52616 164.921605) + (xy 107.414479 164.931954) + (xy 107.314078 164.981948) + (xy 107.298 164.999584) + (xy 107.298 164.244473) + (xy 107.246052 164.252432) + (xy 107.055334 164.323065) + (xy 106.882739 164.430644) + (xy 106.735328 164.570768) + (xy 106.619142 164.737697) + (xy 106.619142 164.737698) + (xy 106.538941 164.924588) + (xy 106.538938 164.924598) + (xy 106.498 165.123803) + (xy 106.498 165.3755) + (xy 107.198 165.3755) + (xy 107.198 165.7755) + (xy 106.498 165.7755) + (xy 106.498 165.976219) + (xy 106.513417 166.127833) + (xy 106.513419 166.127838) + (xy 106.5743 166.321884) + (xy 106.574301 166.321885) + (xy 106.673006 166.499717) + (xy 106.67301 166.499722) + (xy 106.805477 166.654028) + (xy 106.805479 166.654031) + (xy 106.966303 166.778517) + (xy 106.966305 166.778519) + (xy 107.130504 166.859062) + (xy 107.165585 166.895554) + (xy 107.169002 166.946057) + (xy 107.139157 166.986942) + (xy 107.097915 166.9995) + (xy 102.864916 166.9995) + (xy 102.81735 166.982187) + (xy 102.79204 166.93835) + (xy 102.80083 166.8885) + (xy 102.831321 166.859565) + (xy 103.148468 166.69797) + (xy 103.464932 166.492456) + (xy 103.749319 166.262162) + (xy 102.671471 165.184314) + (xy 102.650079 165.138438) + (xy 102.66318 165.089543) + (xy 102.671465 165.079668) + (xy 102.849663 164.90147) + (xy 102.895538 164.880079) + (xy 102.944433 164.89318) + (xy 102.954314 164.901471) + (xy 104.032162 165.979319) + (xy 104.262456 165.694932) + (xy 104.46797 165.378468) + (xy 104.639279 165.042254) + (xy 104.774501 164.689987) + (xy 104.872163 164.325504) + (xy 104.931191 163.952823) + (xy 104.931191 163.952822) + (xy 104.950939 163.575999) + (xy 104.931191 163.199177) + (xy 104.931191 163.199176) + (xy 104.879925 162.875503) + (xy 107.36818 162.875503) + (xy 107.387415 163.083092) + (xy 107.387417 163.083105) + (xy 107.444472 163.283632) + (xy 107.444474 163.283637) + (xy 107.537408 163.470275) + (xy 107.53741 163.470277) + (xy 107.573107 163.517548) + (xy 108.087862 163.002792) + (xy 108.088762 163.008469) + (xy 108.149882 163.128423) + (xy 108.245077 163.223618) + (xy 108.365031 163.284738) + (xy 108.370705 163.285636) + (xy 107.85548 163.800861) + (xy 107.994391 163.886869) + (xy 107.994401 163.886874) + (xy 108.188806 163.962188) + (xy 108.393749 164.000499) + (xy 108.393755 164.0005) + (xy 108.602245 164.0005) + (xy 108.60225 164.000499) + (xy 108.807193 163.962188) + (xy 109.001598 163.886874) + (xy 109.001608 163.886869) + (xy 109.140518 163.800861) + (xy 108.625293 163.285636) + (xy 108.630969 163.284738) + (xy 108.750923 163.223618) + (xy 108.846118 163.128423) + (xy 108.907238 163.008469) + (xy 108.908136 163.002793) + (xy 109.275826 163.370483) + (xy 109.297218 163.416359) + (xy 109.2975 163.422808) + (xy 109.2975 163.570248) + (xy 109.302911 163.597449) + (xy 109.309133 163.628732) + (xy 109.332544 163.663767) + (xy 109.353448 163.695052) + (xy 109.382277 163.714315) + (xy 109.419767 163.739366) + (xy 109.419768 163.739366) + (xy 109.419769 163.739367) + (xy 109.478252 163.751) + (xy 109.478254 163.751) + (xy 109.917746 163.751) + (xy 109.917748 163.751) + (xy 109.976231 163.739367) + (xy 109.981886 163.735587) + (xy 110.03105 163.723554) + (xy 110.064111 163.735586) + (xy 110.069769 163.739367) + (xy 110.128252 163.751) + (xy 110.128254 163.751) + (xy 110.567746 163.751) + (xy 110.567748 163.751) + (xy 110.626231 163.739367) + (xy 110.631884 163.735589) + (xy 110.68105 163.723555) + (xy 110.714111 163.735587) + (xy 110.719767 163.739366) + (xy 110.719768 163.739366) + (xy 110.719769 163.739367) + (xy 110.778252 163.751) + (xy 110.778254 163.751) + (xy 111.217746 163.751) + (xy 111.217748 163.751) + (xy 111.276231 163.739367) + (xy 111.281886 163.735587) + (xy 111.33105 163.723554) + (xy 111.364111 163.735586) + (xy 111.369769 163.739367) + (xy 111.428252 163.751) + (xy 111.428254 163.751) + (xy 111.705881 163.751) + (xy 111.753447 163.768313) + (xy 111.766211 163.784358) + (xy 111.766935 163.783833) + (xy 111.770357 163.788543) + (xy 111.859953 163.878139) + (xy 111.97285 163.935664) + (xy 112.066517 163.950499) + (xy 112.497999 163.950499) + (xy 112.529481 163.950499) + (xy 112.623148 163.935665) + (xy 112.623149 163.935664) + (xy 112.736047 163.878139) + (xy 112.787998 163.826188) + (xy 112.833874 163.804795) + (xy 112.87928 163.815597) + (xy 112.994391 163.886869) + (xy 112.994401 163.886874) + (xy 113.188806 163.962188) + (xy 113.393749 164.000499) + (xy 113.393755 164.0005) + (xy 113.602245 164.0005) + (xy 113.60225 164.000499) + (xy 113.807193 163.962188) + (xy 114.001598 163.886874) + (xy 114.001608 163.886869) + (xy 114.140518 163.800861) + (xy 113.625293 163.285636) + (xy 113.630969 163.284738) + (xy 113.750923 163.223618) + (xy 113.846118 163.128423) + (xy 113.907238 163.008469) + (xy 113.908136 163.002793) + (xy 114.42289 163.517547) + (xy 114.458593 163.47027) + (xy 114.551525 163.283637) + (xy 114.551527 163.283632) + (xy 114.608582 163.083105) + (xy 114.608584 163.083092) + (xy 114.62782 162.875503) + (xy 114.62782 162.875496) + (xy 114.608584 162.667907) + (xy 114.608582 162.667894) + (xy 114.551527 162.467367) + (xy 114.551525 162.467362) + (xy 114.458591 162.280724) + (xy 114.458589 162.280722) + (xy 114.422891 162.23345) + (xy 113.908136 162.748205) + (xy 113.907238 162.742531) + (xy 113.846118 162.622577) + (xy 113.750923 162.527382) + (xy 113.630969 162.466262) + (xy 113.625293 162.465363) + (xy 114.140518 161.950137) + (xy 114.001608 161.86413) + (xy 114.001598 161.864125) + (xy 113.807193 161.788811) + (xy 113.60225 161.7505) + (xy 113.393749 161.7505) + (xy 113.188806 161.788811) + (xy 112.994401 161.864125) + (xy 112.994394 161.864128) + (xy 112.879279 161.935403) + (xy 112.829723 161.945723) + (xy 112.787998 161.924812) + (xy 112.736046 161.87286) + (xy 112.623149 161.815335) + (xy 112.529482 161.8005) + (xy 112.498 161.8005) + (xy 112.497999 163.950499) + (xy 112.066517 163.950499) + (xy 112.097999 163.950498) + (xy 112.098 163.950498) + (xy 112.098 161.8005) + (xy 112.097999 161.800499) + (xy 112.066519 161.8005) + (xy 112.066517 161.800501) + (xy 111.972851 161.815334) + (xy 111.97285 161.815335) + (xy 111.859953 161.87286) + (xy 111.770357 161.962456) + (xy 111.766935 161.967167) + (xy 111.765115 161.965845) + (xy 111.734788 161.99412) + (xy 111.705881 162) + (xy 111.428252 162) + (xy 111.411934 162.003245) + (xy 111.361905 161.995544) + (xy 111.328531 161.957485) + (xy 111.3235 161.930667) + (xy 111.3235 161.1865) + (xy 111.340813 161.138934) + (xy 111.38465 161.113624) + (xy 111.3975 161.1125) + (xy 111.796249 161.1125) + (xy 111.796256 161.1125) + (xy 111.825849 161.109725) + (xy 111.882532 161.08989) + (xy 111.950473 161.066117) + (xy 111.950473 161.066116) + (xy 111.950475 161.066116) + (xy 112.056711 160.987711) + (xy 112.135116 160.881475) + (xy 112.163779 160.799559) + (xy 112.195831 160.76038) + (xy 112.233627 160.75) + (xy 112.302373 160.75) + (xy 112.349939 160.767313) + (xy 112.37222 160.799559) + (xy 112.392555 160.857674) + (xy 112.400884 160.881475) + (xy 112.479289 160.987711) + (xy 112.556811 161.044924) + (xy 112.585526 161.066117) + (xy 112.710145 161.109723) + (xy 112.710151 161.109725) + (xy 112.739744 161.1125) + (xy 112.739751 161.1125) + (xy 113.320249 161.1125) + (xy 113.320256 161.1125) + (xy 113.349849 161.109725) + (xy 113.406532 161.08989) + (xy 113.474473 161.066117) + (xy 113.474473 161.066116) + (xy 113.474475 161.066116) + (xy 113.580711 160.987711) + (xy 113.659116 160.881475) + (xy 113.667445 160.857674) + (xy 113.68289 160.813532) + (xy 113.702725 160.756849) + (xy 113.7055 160.727256) + (xy 113.7055 160.121744) + (xy 113.702725 160.092151) + (xy 113.702723 160.092145) + (xy 113.659117 159.967526) + (xy 113.597988 159.884699) + (xy 113.580711 159.861289) + (xy 113.530134 159.823962) + (xy 113.474473 159.782882) + (xy 113.349854 159.739276) + (xy 113.349855 159.739276) + (xy 113.34985 159.739275) + (xy 113.349849 159.739275) + (xy 113.320256 159.7365) + (xy 112.739744 159.7365) + (xy 112.710151 159.739275) + (xy 112.710149 159.739275) + (xy 112.710145 159.739276) + (xy 112.585526 159.782882) + (xy 112.47929 159.861288) + (xy 112.479288 159.86129) + (xy 112.400883 159.967526) + (xy 112.37222 160.049441) + (xy 112.340169 160.08862) + (xy 112.302373 160.099) + (xy 112.233627 160.099) + (xy 112.186061 160.081687) + (xy 112.16378 160.049441) + (xy 112.135116 159.967526) + (xy 112.135116 159.967525) + (xy 112.056711 159.861289) + (xy 112.006134 159.823962) + (xy 111.950473 159.782882) + (xy 111.825854 159.739276) + (xy 111.825855 159.739276) + (xy 111.82585 159.739275) + (xy 111.825849 159.739275) + (xy 111.796256 159.7365) + (xy 111.215744 159.7365) + (xy 111.186151 159.739275) + (xy 111.186149 159.739275) + (xy 111.186145 159.739276) + (xy 111.061526 159.782882) + (xy 110.95529 159.861288) + (xy 110.955288 159.86129) + (xy 110.876882 159.967526) + (xy 110.833276 160.092145) + (xy 110.833275 160.092149) + (xy 110.833275 160.092151) + (xy 110.833059 160.094464) + (xy 110.8305 160.121749) + (xy 110.8305 160.609021) + (xy 110.813187 160.656587) + (xy 110.808825 160.661348) + (xy 110.783825 160.686347) + (xy 110.737948 160.707739) + (xy 110.689054 160.694636) + (xy 110.660021 160.653171) + (xy 110.6575 160.63402) + (xy 110.6575 160.121751) + (xy 110.6575 160.12175) + (xy 110.6575 160.121744) + (xy 110.654725 160.092151) + (xy 110.654723 160.092145) + (xy 110.611117 159.967526) + (xy 110.549988 159.884699) + (xy 110.532711 159.861289) + (xy 110.482134 159.823962) + (xy 110.426473 159.782882) + (xy 110.301854 159.739276) + (xy 110.301855 159.739276) + (xy 110.30185 159.739275) + (xy 110.301849 159.739275) + (xy 110.272256 159.7365) + (xy 109.691744 159.7365) + (xy 109.662151 159.739275) + (xy 109.662149 159.739275) + (xy 109.662145 159.739276) + (xy 109.537526 159.782882) + (xy 109.43129 159.861288) + (xy 109.431288 159.86129) + (xy 109.352882 159.967526) + (xy 109.309276 160.092145) + (xy 109.309275 160.092149) + (xy 109.309275 160.092151) + (xy 109.3065 160.121744) + (xy 109.3065 160.727256) + (xy 109.309275 160.756849) + (xy 109.309275 160.756851) + (xy 109.309276 160.756854) + (xy 109.352882 160.881473) + (xy 109.393962 160.937134) + (xy 109.431289 160.987711) + (xy 109.508811 161.044924) + (xy 109.537526 161.066117) + (xy 109.662145 161.109723) + (xy 109.662151 161.109725) + (xy 109.691744 161.1125) + (xy 109.9485 161.1125) + (xy 109.996066 161.129813) + (xy 110.021376 161.17365) + (xy 110.0225 161.1865) + (xy 110.0225 161.930667) + (xy 110.005187 161.978233) + (xy 109.96135 162.003543) + (xy 109.934066 162.003246) + (xy 109.917748 162) + (xy 109.478252 162) + (xy 109.44901 162.005816) + (xy 109.419767 162.011633) + (xy 109.353449 162.055947) + (xy 109.353447 162.055949) + (xy 109.309133 162.122267) + (xy 109.2975 162.180753) + (xy 109.2975 162.328191) + (xy 109.280187 162.375757) + (xy 109.275826 162.380517) + (xy 108.908136 162.748206) + (xy 108.907238 162.742531) + (xy 108.846118 162.622577) + (xy 108.750923 162.527382) + (xy 108.630969 162.466262) + (xy 108.625292 162.465362) + (xy 109.140518 161.950137) + (xy 109.001608 161.86413) + (xy 109.001598 161.864125) + (xy 108.807193 161.788811) + (xy 108.60225 161.7505) + (xy 108.393749 161.7505) + (xy 108.188806 161.788811) + (xy 107.994401 161.864125) + (xy 107.994385 161.864132) + (xy 107.85548 161.950137) + (xy 108.370706 162.465363) + (xy 108.365031 162.466262) + (xy 108.245077 162.527382) + (xy 108.149882 162.622577) + (xy 108.088762 162.742531) + (xy 108.087863 162.748206) + (xy 107.573107 162.23345) + (xy 107.53741 162.280721) + (xy 107.537408 162.280724) + (xy 107.444474 162.467362) + (xy 107.444472 162.467367) + (xy 107.387417 162.667894) + (xy 107.387415 162.667907) + (xy 107.36818 162.875496) + (xy 107.36818 162.875503) + (xy 104.879925 162.875503) + (xy 104.872163 162.826495) + (xy 104.774501 162.462012) + (xy 104.639279 162.109745) + (xy 104.46797 161.773531) + (xy 104.262456 161.457067) + (xy 104.032162 161.172679) + (xy 102.954314 162.250528) + (xy 102.908438 162.27192) + (xy 102.859543 162.258819) + (xy 102.849662 162.250528) + (xy 102.776961 162.177827) + (xy 102.671469 162.072335) + (xy 102.650078 162.026461) + (xy 102.663179 161.977567) + (xy 102.67147 161.967685) + (xy 103.749319 160.889836) + (xy 103.749319 160.889835) + (xy 103.464932 160.659543) + (xy 103.148468 160.454029) + (xy 102.812254 160.28272) + (xy 102.459987 160.147498) + (xy 102.095504 160.049836) + (xy 101.722823 159.990808) + (xy 101.346 159.97106) + (xy 100.969177 159.990808) + (xy 100.969176 159.990808) + (xy 100.596495 160.049836) + (xy 100.232012 160.147498) + (xy 99.879745 160.28272) + (xy 99.543531 160.454029) + (xy 99.227067 160.659543) + (xy 98.942679 160.889835) + (xy 98.942679 160.889836) + (xy 100.020528 161.967685) + (xy 100.04192 162.013561) + (xy 100.028819 162.062456) + (xy 100.020528 162.072337) + (xy 99.842337 162.250528) + (xy 99.796461 162.27192) + (xy 99.747566 162.258819) + (xy 99.737685 162.250528) + (xy 98.659836 161.172679) + (xy 98.659835 161.172679) + (xy 98.429543 161.457067) + (xy 98.224029 161.773531) + (xy 98.05272 162.109745) + (xy 97.916804 162.463821) + (xy 97.915896 162.463472) + (xy 97.88663 162.500875) + (xy 97.83711 162.511363) + (xy 97.792433 162.487566) + (xy 97.782615 162.473741) + (xy 97.779108 162.467362) + (xy 97.750933 162.416111) + (xy 97.744803 162.406452) + (xy 97.447812 161.938469) + (xy 97.447801 161.938453) + (xy 97.115306 161.480813) + (xy 97.115297 161.480802) + (xy 96.87035 161.184712) + (xy 96.754711 161.044929) + (xy 96.754712 161.044929) + (xy 96.367461 160.632549) + (xy 96.36745 160.632538) + (xy 96.127153 160.406884) + (xy 95.955076 160.245293) + (xy 95.831858 160.143358) + (xy 95.519197 159.884702) + (xy 95.519186 159.884693) + (xy 95.061546 159.552198) + (xy 95.06153 159.552187) + (xy 94.583912 159.249081) + (xy 94.583899 159.249073) + (xy 94.583889 159.249067) + (xy 94.088159 158.976537) + (xy 93.576294 158.735672) + (xy 93.576265 158.735659) + (xy 93.050324 158.527425) + (xy 93.050297 158.527415) + (xy 92.512317 158.352616) + (xy 92.512299 158.35261) + (xy 92.51229 158.352607) + (xy 92.512275 158.352603) + (xy 91.964371 158.211926) + (xy 91.964363 158.211924) + (xy 91.786244 158.177946) + (xy 91.786239 158.177943) + (xy 91.786097 158.177918) + (xy 91.408679 158.105922) + (xy 91.40867 158.10592) + (xy 91.217088 158.081718) + (xy 91.21576 158.081035) + (xy 91.197752 158.079262) + (xy 91.196741 158.079148) + (xy 90.847454 158.035022) + (xy 90.847432 158.03502) + (xy 90.642949 158.022155) + (xy 90.640206 158.020956) + (xy 90.60678 158.019862) + (xy 90.605668 158.019809) + (xy 90.282867 157.9995) + (xy 90.282852 157.9995) + (xy 90.0745 157.9995) + (xy 90.026934 157.982187) + (xy 90.001624 157.93835) + (xy 90.0005 157.9255) + (xy 90.0005 157.747838) + (xy 90.017813 157.700272) + (xy 90.06165 157.674962) + (xy 90.1115 157.683752) + (xy 90.126826 157.695512) + (xy 90.167953 157.736639) + (xy 90.28085 157.794164) + (xy 90.374518 157.808999) + (xy 91.156 157.808999) + (xy 91.156 156.959) + (xy 91.556 156.959) + (xy 91.556 157.808999) + (xy 92.337481 157.808999) + (xy 92.431148 157.794165) + (xy 92.431149 157.794164) + (xy 92.544046 157.736639) + (xy 92.633639 157.647046) + (xy 92.691164 157.534149) + (xy 92.691164 157.534148) + (xy 92.706 157.440481) + (xy 92.706 156.959) + (xy 91.556 156.959) + (xy 91.156 156.959) + (xy 91.156 155.709) + (xy 91.556 155.709) + (xy 91.556 156.559) + (xy 92.705999 156.559) + (xy 92.705999 156.077517) + (xy 92.691165 155.983851) + (xy 92.691164 155.98385) + (xy 92.633639 155.870953) + (xy 92.544046 155.78136) + (xy 92.431149 155.723835) + (xy 92.337482 155.709) + (xy 91.556 155.709) + (xy 91.156 155.709) + (xy 90.374518 155.709) + (xy 90.280851 155.723834) + (xy 90.28085 155.723835) + (xy 90.167953 155.78136) + (xy 90.126826 155.822488) + (xy 90.08095 155.84388) + (xy 90.032055 155.830779) + (xy 90.003021 155.789315) + (xy 90.0005 155.770162) + (xy 90.0005 153.009) + (xy 90.406 153.009) + (xy 90.406 155.559) + (xy 91.756 155.559) + (xy 91.756 154.940482) + (xy 105.456 154.940482) + (xy 105.470834 155.034148) + (xy 105.470835 155.034149) + (xy 105.52836 155.147046) + (xy 105.617953 155.236639) + (xy 105.73085 155.294164) + (xy 105.824518 155.308999) + (xy 106.256 155.308999) + (xy 106.256 154.609) + (xy 106.656 154.609) + (xy 106.656 155.308999) + (xy 107.087481 155.308999) + (xy 107.181148 155.294165) + (xy 107.181149 155.294164) + (xy 107.294046 155.236639) + (xy 107.383639 155.147046) + (xy 107.441164 155.034149) + (xy 107.441164 155.034148) + (xy 107.456 154.940481) + (xy 107.456 154.609) + (xy 106.656 154.609) + (xy 106.256 154.609) + (xy 105.456001 154.609) + (xy 105.456 154.940482) + (xy 91.756 154.940482) + (xy 91.756 153.009) + (xy 90.406 153.009) + (xy 90.0005 153.009) + (xy 90.0005 144.097838) + (xy 90.017813 144.050272) + (xy 90.06165 144.024962) + (xy 90.1115 144.033752) + (xy 90.126826 144.045512) + (xy 90.167953 144.086639) + (xy 90.28085 144.144164) + (xy 90.374518 144.158999) + (xy 91.606 144.158999) + (xy 91.606 142.359) + (xy 90.374517 142.359) + (xy 90.280851 142.373834) + (xy 90.28085 142.373835) + (xy 90.167953 142.43136) + (xy 90.126826 142.472488) + (xy 90.08095 142.49388) + (xy 90.032055 142.480779) + (xy 90.003021 142.439315) + (xy 90.0005 142.420162) + (xy 90.0005 141.416118) + (xy 91.155517 141.416118) + (xy 91.176154 141.572878) + (xy 91.176154 141.572879) + (xy 91.236663 141.718959) + (xy 91.332914 141.844397) + (xy 91.332919 141.844402) + (xy 91.413563 141.906282) + (xy 91.458358 141.940654) + (xy 91.604437 142.001162) + (xy 91.761199 142.0218) + (xy 91.917961 142.001162) + (xy 92.06404 141.940654) + (xy 92.189481 141.8444) + (xy 92.191731 141.841467) + (xy 92.234422 141.814267) + (xy 92.284608 141.820871) + (xy 92.318808 141.85819) + (xy 92.323809 141.876853) + (xy 92.325376 141.88876) + (xy 92.325376 141.888761) + (xy 92.385885 142.034841) + (xy 92.482136 142.160279) + (xy 92.482141 142.160284) + (xy 92.568165 142.226292) + (xy 92.595363 142.268983) + (xy 92.588756 142.319169) + (xy 92.551436 142.353367) + (xy 92.523117 142.359) + (xy 92.006 142.359) + (xy 92.006 143.059) + (xy 93.605999 143.059) + (xy 93.605999 142.727517) + (xy 93.591165 142.633851) + (xy 93.591164 142.63385) + (xy 93.533639 142.520953) + (xy 93.444046 142.43136) + (xy 93.331149 142.373835) + (xy 93.276838 142.365233) + (xy 93.232565 142.340692) + (xy 93.214425 142.293435) + (xy 93.230905 142.245574) + (xy 93.243358 142.233441) + (xy 93.338703 142.160282) + (xy 93.434957 142.034841) + (xy 93.495465 141.888762) + (xy 93.516103 141.732) + (xy 93.495465 141.575238) + (xy 93.434957 141.429159) + (xy 93.384179 141.362984) + (xy 93.338705 141.30372) + (xy 93.338703 141.303718) + (xy 93.234451 141.223722) + (xy 93.207254 141.18103) + (xy 93.2055 141.165014) + (xy 93.2055 139.012749) + (xy 93.222813 138.965183) + (xy 93.26665 138.939873) + (xy 93.3165 138.948663) + (xy 93.329348 138.958058) + (xy 93.438959 139.057981) + (xy 93.612363 139.165348) + (xy 93.802544 139.239024) + (xy 94.003024 139.2765) + (xy 94.003026 139.2765) + (xy 94.206974 139.2765) + (xy 94.206976 139.2765) + (xy 94.407456 139.239024) + (xy 94.597637 139.165348) + (xy 94.710048 139.095746) + (xy 95.5445 139.095746) + (xy 95.556133 139.154232) + (xy 95.56857 139.172844) + (xy 95.600448 139.220552) + (xy 95.62809 139.239022) + (xy 95.666767 139.264866) + (xy 95.666768 139.264866) + (xy 95.666769 139.264867) + (xy 95.725252 139.2765) + (xy 95.725254 139.2765) + (xy 97.564746 139.2765) + (xy 97.564748 139.2765) + (xy 97.623231 139.264867) + (xy 97.689552 139.220552) + (xy 97.733867 139.154231) + (xy 97.7455 139.095748) + (xy 97.7455 137.256252) + (xy 97.733867 137.197769) + (xy 97.726437 137.18665) + (xy 97.716566 137.171877) + (xy 97.689552 137.131448) + (xy 97.659188 137.111159) + (xy 97.623232 137.087133) + (xy 97.623233 137.087133) + (xy 97.583781 137.079286) + (xy 97.564748 137.0755) + (xy 95.725252 137.0755) + (xy 95.706219 137.079286) + (xy 95.666767 137.087133) + (xy 95.600449 137.131447) + (xy 95.600447 137.131449) + (xy 95.556133 137.197767) + (xy 95.5445 137.256253) + (xy 95.5445 139.095746) + (xy 94.710048 139.095746) + (xy 94.771041 139.057981) + (xy 94.921764 138.920579) + (xy 94.982041 138.84076) + (xy 95.04467 138.757825) + (xy 95.04467 138.757824) + (xy 95.044673 138.757821) + (xy 95.135582 138.57525) + (xy 95.191397 138.379083) + (xy 95.194469 138.345939) + (xy 95.210215 138.176003) + (xy 95.210215 138.175996) + (xy 95.191398 137.972923) + (xy 95.191397 137.972917) + (xy 95.167514 137.888977) + (xy 95.135582 137.77675) + (xy 95.044673 137.594179) + (xy 95.04467 137.594175) + (xy 95.04467 137.594174) + (xy 94.921765 137.431421) + (xy 94.921764 137.43142) + (xy 94.771041 137.294019) + (xy 94.711639 137.257239) + (xy 94.597637 137.186652) + (xy 94.597636 137.186651) + (xy 94.594728 137.184851) + (xy 94.595782 137.183147) + (xy 94.565604 137.151273) + (xy 94.5595 137.121843) + (xy 94.5595 136.871627) + (xy 94.576813 136.824061) + (xy 94.609059 136.80178) + (xy 94.628935 136.794825) + (xy 94.690975 136.773116) + (xy 94.797211 136.694711) + (xy 94.875616 136.588475) + (xy 94.883291 136.566543) + (xy 94.919223 136.463854) + (xy 94.919222 136.463854) + (xy 94.919225 136.463849) + (xy 94.922 136.434256) + (xy 94.922 135.853744) + (xy 94.919225 135.824151) + (xy 94.897576 135.762281) + (xy 94.875617 135.699526) + (xy 94.813442 135.615282) + (xy 94.797211 135.593289) + (xy 94.719901 135.536232) + (xy 94.690973 135.514882) + (xy 94.566354 135.471276) + (xy 94.566355 135.471276) + (xy 94.56635 135.471275) + (xy 94.566349 135.471275) + (xy 94.536756 135.4685) + (xy 93.931244 135.4685) + (xy 93.901651 135.471275) + (xy 93.901649 135.471275) + (xy 93.901645 135.471276) + (xy 93.777026 135.514882) + (xy 93.67079 135.593288) + (xy 93.670788 135.59329) + (xy 93.592382 135.699526) + (xy 93.548776 135.824145) + (xy 93.548775 135.824149) + (xy 93.548775 135.824151) + (xy 93.546 135.853744) + (xy 93.546 136.434256) + (xy 93.548775 136.463849) + (xy 93.548775 136.463851) + (xy 93.548776 136.463854) + (xy 93.592382 136.588473) + (xy 93.629906 136.639316) + (xy 93.670789 136.694711) + (xy 93.777025 136.773116) + (xy 93.839065 136.794825) + (xy 93.858941 136.80178) + (xy 93.89812 136.833831) + (xy 93.9085 136.871627) + (xy 93.9085 137.03172) + (xy 93.891187 137.079286) + (xy 93.848099 137.10446) + (xy 93.832877 137.107305) + (xy 93.802544 137.112976) + (xy 93.802542 137.112976) + (xy 93.80254 137.112977) + (xy 93.617012 137.184851) + (xy 93.612363 137.186652) + (xy 93.612358 137.186654) + (xy 93.612358 137.186655) + (xy 93.438958 137.294019) + (xy 93.438957 137.294019) + (xy 93.329353 137.393937) + (xy 93.282538 137.413188) + (xy 93.234301 137.397842) + (xy 93.207213 137.355081) + (xy 93.2055 137.33925) + (xy 93.2055 131.618003) + (xy 94.604357 131.618003) + (xy 94.624883 131.839524) + (xy 94.624885 131.839537) + (xy 94.68115 132.037289) + (xy 94.685771 132.053528) + (xy 94.730585 132.143526) + (xy 94.784942 132.25269) + (xy 94.784944 132.252693) + (xy 94.879597 132.378033) + (xy 94.919019 132.430236) + (xy 95.083438 132.580124) + (xy 95.272599 132.697247) + (xy 95.48006 132.777618) + (xy 95.698757 132.8185) + (xy 95.921243 132.8185) + (xy 96.13994 132.777618) + (xy 96.347401 132.697247) + (xy 96.536562 132.580124) + (xy 96.700981 132.430236) + (xy 96.835058 132.252689) + (xy 96.934229 132.053528) + (xy 96.995115 131.839536) + (xy 97.004642 131.736723) + (xy 97.015643 131.618003) + (xy 101.104357 131.618003) + (xy 101.124883 131.839524) + (xy 101.124885 131.839537) + (xy 101.18115 132.037289) + (xy 101.185771 132.053528) + (xy 101.230585 132.143526) + (xy 101.284942 132.25269) + (xy 101.284944 132.252693) + (xy 101.379597 132.378033) + (xy 101.419019 132.430236) + (xy 101.583438 132.580124) + (xy 101.772599 132.697247) + (xy 101.98006 132.777618) + (xy 102.198757 132.8185) + (xy 102.421243 132.8185) + (xy 102.63994 132.777618) + (xy 102.847401 132.697247) + (xy 103.036562 132.580124) + (xy 103.200981 132.430236) + (xy 103.335058 132.252689) + (xy 103.434229 132.053528) + (xy 103.495115 131.839536) + (xy 103.504642 131.736723) + (xy 103.515643 131.618003) + (xy 103.515643 131.617996) + (xy 103.495116 131.396475) + (xy 103.495115 131.396464) + (xy 103.434229 131.182472) + (xy 103.335058 130.983311) + (xy 103.335057 130.98331) + (xy 103.335057 130.983309) + (xy 103.335055 130.983306) + (xy 103.200983 130.805767) + (xy 103.200981 130.805764) + (xy 103.036562 130.655876) + (xy 103.027002 130.649957) + (xy 102.977853 130.619525) + (xy 102.847401 130.538753) + (xy 102.735154 130.495268) + (xy 102.639942 130.458382) + (xy 102.421246 130.4175) + (xy 102.421243 130.4175) + (xy 102.198757 130.4175) + (xy 102.198753 130.4175) + (xy 101.980057 130.458382) + (xy 101.772604 130.538751) + (xy 101.772599 130.538753) + (xy 101.772594 130.538755) + (xy 101.772594 130.538756) + (xy 101.58344 130.655874) + (xy 101.529671 130.704891) + (xy 101.469817 130.759456) + (xy 101.419016 130.805767) + (xy 101.284944 130.983306) + (xy 101.284942 130.983309) + (xy 101.185771 131.182472) + (xy 101.185769 131.182477) + (xy 101.124885 131.396462) + (xy 101.124883 131.396475) + (xy 101.104357 131.617996) + (xy 101.104357 131.618003) + (xy 97.015643 131.618003) + (xy 97.015643 131.617996) + (xy 96.995116 131.396475) + (xy 96.995115 131.396464) + (xy 96.934229 131.182472) + (xy 96.835058 130.983311) + (xy 96.835057 130.98331) + (xy 96.835057 130.983309) + (xy 96.835055 130.983306) + (xy 96.700983 130.805767) + (xy 96.700981 130.805764) + (xy 96.536562 130.655876) + (xy 96.527002 130.649957) + (xy 96.477853 130.619525) + (xy 96.347401 130.538753) + (xy 96.235154 130.495268) + (xy 96.139942 130.458382) + (xy 95.921246 130.4175) + (xy 95.921243 130.4175) + (xy 95.698757 130.4175) + (xy 95.698753 130.4175) + (xy 95.480057 130.458382) + (xy 95.272604 130.538751) + (xy 95.272599 130.538753) + (xy 95.272594 130.538755) + (xy 95.272594 130.538756) + (xy 95.08344 130.655874) + (xy 95.029671 130.704891) + (xy 94.969817 130.759456) + (xy 94.919016 130.805767) + (xy 94.784944 130.983306) + (xy 94.784942 130.983309) + (xy 94.685771 131.182472) + (xy 94.685769 131.182477) + (xy 94.624885 131.396462) + (xy 94.624883 131.396475) + (xy 94.604357 131.617996) + (xy 94.604357 131.618003) + (xy 93.2055 131.618003) + (xy 93.2055 127.118003) + (xy 94.405202 127.118003) + (xy 94.424362 127.349221) + (xy 94.48132 127.574145) + (xy 94.574515 127.786608) + (xy 94.686753 127.958401) + (xy 95.305435 127.339718) + (xy 95.356442 127.438156) + (xy 95.459638 127.548652) + (xy 95.585594 127.625248) + (xy 94.971439 128.239403) + (xy 95.041646 128.294048) + (xy 95.245697 128.404476) + (xy 95.465145 128.479812) + (xy 95.69399 128.517999) + (xy 95.693993 128.518) + (xy 95.926007 128.518) + (xy 95.926009 128.517999) + (xy 96.154854 128.479812) + (xy 96.374302 128.404476) + (xy 96.57835 128.29405) + (xy 96.64856 128.239402) + (xy 96.030865 127.621708) + (xy 96.098458 127.592349) + (xy 96.215739 127.496934) + (xy 96.302928 127.373415) + (xy 96.314813 127.33997) + (xy 96.933245 127.958402) + (xy 97.045484 127.786608) + (xy 97.138679 127.574145) + (xy 97.195637 127.349221) + (xy 97.214798 127.118003) + (xy 100.905202 127.118003) + (xy 100.924362 127.349221) + (xy 100.98132 127.574145) + (xy 101.074515 127.786608) + (xy 101.186753 127.958401) + (xy 101.805435 127.339718) + (xy 101.856442 127.438156) + (xy 101.959638 127.548652) + (xy 102.085594 127.625248) + (xy 101.471439 128.239403) + (xy 101.541646 128.294048) + (xy 101.745697 128.404476) + (xy 101.965145 128.479812) + (xy 102.19399 128.517999) + (xy 102.193993 128.518) + (xy 102.426007 128.518) + (xy 102.426009 128.517999) + (xy 102.654854 128.479812) + (xy 102.874302 128.404476) + (xy 103.07835 128.29405) + (xy 103.148559 128.239402) + (xy 102.530865 127.621708) + (xy 102.598458 127.592349) + (xy 102.715739 127.496934) + (xy 102.802928 127.373415) + (xy 102.814813 127.33997) + (xy 103.433245 127.958402) + (xy 103.545484 127.786608) + (xy 103.638679 127.574145) + (xy 103.695637 127.349221) + (xy 103.714798 127.118003) + (xy 103.714798 127.117996) + (xy 103.695637 126.886778) + (xy 103.638679 126.661854) + (xy 103.545484 126.449391) + (xy 103.433244 126.277596) + (xy 102.814562 126.896278) + (xy 102.763558 126.797844) + (xy 102.660362 126.687348) + (xy 102.534404 126.610751) + (xy 103.14856 125.996596) + (xy 103.148559 125.996595) + (xy 103.078353 125.941951) + (xy 102.874302 125.831523) + (xy 102.654854 125.756187) + (xy 102.426009 125.718) + (xy 102.19399 125.718) + (xy 101.965145 125.756187) + (xy 101.745697 125.831523) + (xy 101.541645 125.941951) + (xy 101.471439 125.996595) + (xy 101.471438 125.996595) + (xy 102.089134 126.614291) + (xy 102.021542 126.643651) + (xy 101.904261 126.739066) + (xy 101.817072 126.862585) + (xy 101.805186 126.896029) + (xy 101.186754 126.277597) + (xy 101.074513 126.449396) + (xy 100.98132 126.661854) + (xy 100.924362 126.886778) + (xy 100.905202 127.117996) + (xy 100.905202 127.118003) + (xy 97.214798 127.118003) + (xy 97.214798 127.117996) + (xy 97.195637 126.886778) + (xy 97.138679 126.661854) + (xy 97.045484 126.449391) + (xy 96.933244 126.277596) + (xy 96.314562 126.896278) + (xy 96.263558 126.797844) + (xy 96.160362 126.687348) + (xy 96.034404 126.610751) + (xy 96.64856 125.996596) + (xy 96.648559 125.996595) + (xy 96.578353 125.941951) + (xy 96.374302 125.831523) + (xy 96.154854 125.756187) + (xy 95.926009 125.718) + (xy 95.69399 125.718) + (xy 95.465145 125.756187) + (xy 95.245697 125.831523) + (xy 95.041645 125.941951) + (xy 94.971439 125.996595) + (xy 94.971438 125.996595) + (xy 95.589134 126.614291) + (xy 95.521542 126.643651) + (xy 95.404261 126.739066) + (xy 95.317072 126.862585) + (xy 95.305186 126.896029) + (xy 94.686754 126.277597) + (xy 94.574513 126.449396) + (xy 94.48132 126.661854) + (xy 94.424362 126.886778) + (xy 94.405202 127.117996) + (xy 94.405202 127.118003) + (xy 93.2055 127.118003) + (xy 93.2055 123.068003) + (xy 94.604357 123.068003) + (xy 94.624883 123.289524) + (xy 94.624885 123.289537) + (xy 94.685769 123.503522) + (xy 94.685771 123.503527) + (xy 94.784942 123.70269) + (xy 94.784944 123.702693) + (xy 94.897288 123.85146) + (xy 94.919019 123.880236) + (xy 95.083438 124.030124) + (xy 95.272599 124.147247) + (xy 95.48006 124.227618) + (xy 95.698757 124.2685) + (xy 95.921243 124.2685) + (xy 96.13994 124.227618) + (xy 96.347401 124.147247) + (xy 96.536562 124.030124) + (xy 96.700981 123.880236) + (xy 96.835058 123.702689) + (xy 96.934229 123.503528) + (xy 96.995115 123.289536) + (xy 97.001009 123.225927) + (xy 97.015643 123.068003) + (xy 101.104357 123.068003) + (xy 101.124883 123.289524) + (xy 101.124885 123.289537) + (xy 101.185769 123.503522) + (xy 101.185771 123.503527) + (xy 101.284942 123.70269) + (xy 101.284944 123.702693) + (xy 101.397288 123.85146) + (xy 101.419019 123.880236) + (xy 101.583438 124.030124) + (xy 101.772599 124.147247) + (xy 101.98006 124.227618) + (xy 102.198757 124.2685) + (xy 102.421243 124.2685) + (xy 102.63994 124.227618) + (xy 102.847401 124.147247) + (xy 103.036562 124.030124) + (xy 103.200981 123.880236) + (xy 103.335058 123.702689) + (xy 103.434229 123.503528) + (xy 103.495115 123.289536) + (xy 103.501009 123.225927) + (xy 103.515643 123.068003) + (xy 103.515643 123.067996) + (xy 103.495116 122.846475) + (xy 103.495115 122.846464) + (xy 103.434229 122.632472) + (xy 103.335058 122.433311) + (xy 103.335057 122.43331) + (xy 103.335057 122.433309) + (xy 103.335055 122.433306) + (xy 103.200983 122.255767) + (xy 103.200981 122.255764) + (xy 103.036562 122.105876) + (xy 102.847401 121.988753) + (xy 102.771387 121.959305) + (xy 102.639942 121.908382) + (xy 102.421246 121.8675) + (xy 102.421243 121.8675) + (xy 102.198757 121.8675) + (xy 102.198753 121.8675) + (xy 101.980057 121.908382) + (xy 101.792666 121.980979) + (xy 101.772599 121.988753) + (xy 101.772594 121.988755) + (xy 101.772594 121.988756) + (xy 101.58344 122.105874) + (xy 101.419016 122.255767) + (xy 101.284944 122.433306) + (xy 101.284942 122.433309) + (xy 101.185771 122.632472) + (xy 101.185769 122.632477) + (xy 101.124885 122.846462) + (xy 101.124883 122.846475) + (xy 101.104357 123.067996) + (xy 101.104357 123.068003) + (xy 97.015643 123.068003) + (xy 97.015643 123.067996) + (xy 96.995116 122.846475) + (xy 96.995115 122.846464) + (xy 96.934229 122.632472) + (xy 96.835058 122.433311) + (xy 96.835057 122.43331) + (xy 96.835057 122.433309) + (xy 96.835055 122.433306) + (xy 96.700983 122.255767) + (xy 96.700981 122.255764) + (xy 96.536562 122.105876) + (xy 96.347401 121.988753) + (xy 96.271387 121.959305) + (xy 96.139942 121.908382) + (xy 95.921246 121.8675) + (xy 95.921243 121.8675) + (xy 95.698757 121.8675) + (xy 95.698753 121.8675) + (xy 95.480057 121.908382) + (xy 95.292666 121.980979) + (xy 95.272599 121.988753) + (xy 95.272594 121.988755) + (xy 95.272594 121.988756) + (xy 95.08344 122.105874) + (xy 94.919016 122.255767) + (xy 94.784944 122.433306) + (xy 94.784942 122.433309) + (xy 94.685771 122.632472) + (xy 94.685769 122.632477) + (xy 94.624885 122.846462) + (xy 94.624883 122.846475) + (xy 94.604357 123.067996) + (xy 94.604357 123.068003) + (xy 93.2055 123.068003) + (xy 93.2055 118.568003) + (xy 94.405202 118.568003) + (xy 94.424362 118.799221) + (xy 94.48132 119.024145) + (xy 94.574515 119.236608) + (xy 94.686753 119.408401) + (xy 95.305435 118.789718) + (xy 95.356442 118.888156) + (xy 95.459638 118.998652) + (xy 95.585594 119.075248) + (xy 94.971439 119.689403) + (xy 95.041646 119.744048) + (xy 95.245697 119.854476) + (xy 95.465145 119.929812) + (xy 95.69399 119.967999) + (xy 95.693993 119.968) + (xy 95.926007 119.968) + (xy 95.926009 119.967999) + (xy 96.154854 119.929812) + (xy 96.374302 119.854476) + (xy 96.57835 119.74405) + (xy 96.64856 119.689402) + (xy 96.030865 119.071708) + (xy 96.098458 119.042349) + (xy 96.215739 118.946934) + (xy 96.302928 118.823415) + (xy 96.314813 118.78997) + (xy 96.933245 119.408402) + (xy 97.045484 119.236608) + (xy 97.138679 119.024145) + (xy 97.195637 118.799221) + (xy 97.214798 118.568003) + (xy 100.905202 118.568003) + (xy 100.924362 118.799221) + (xy 100.98132 119.024145) + (xy 101.074515 119.236608) + (xy 101.186753 119.408401) + (xy 101.805436 118.789719) + (xy 101.856442 118.888156) + (xy 101.959638 118.998652) + (xy 102.085594 119.075248) + (xy 101.471439 119.689403) + (xy 101.541646 119.744048) + (xy 101.745697 119.854476) + (xy 101.965145 119.929812) + (xy 102.19399 119.967999) + (xy 102.193993 119.968) + (xy 102.426007 119.968) + (xy 102.426009 119.967999) + (xy 102.654854 119.929812) + (xy 102.874302 119.854476) + (xy 103.07835 119.74405) + (xy 103.14856 119.689402) + (xy 102.530865 119.071708) + (xy 102.598458 119.042349) + (xy 102.715739 118.946934) + (xy 102.802928 118.823415) + (xy 102.814813 118.78997) + (xy 103.433245 119.408402) + (xy 103.545484 119.236608) + (xy 103.638679 119.024145) + (xy 103.695637 118.799221) + (xy 103.714798 118.568003) + (xy 103.714798 118.567996) + (xy 103.695637 118.336778) + (xy 103.638679 118.111854) + (xy 103.545484 117.899391) + (xy 103.433244 117.727596) + (xy 102.814562 118.346278) + (xy 102.763558 118.247844) + (xy 102.660362 118.137348) + (xy 102.534403 118.06075) + (xy 103.148559 117.446595) + (xy 103.078353 117.391951) + (xy 102.874302 117.281523) + (xy 102.654854 117.206187) + (xy 102.426009 117.168) + (xy 102.19399 117.168) + (xy 101.965145 117.206187) + (xy 101.745697 117.281523) + (xy 101.541645 117.391951) + (xy 101.471439 117.446595) + (xy 101.471438 117.446595) + (xy 102.089134 118.064291) + (xy 102.021542 118.093651) + (xy 101.904261 118.189066) + (xy 101.817072 118.312585) + (xy 101.805186 118.346029) + (xy 101.186754 117.727597) + (xy 101.074513 117.899396) + (xy 100.98132 118.111854) + (xy 100.924362 118.336778) + (xy 100.905202 118.567996) + (xy 100.905202 118.568003) + (xy 97.214798 118.568003) + (xy 97.214798 118.567996) + (xy 97.195637 118.336778) + (xy 97.138679 118.111854) + (xy 97.045484 117.899391) + (xy 96.933244 117.727596) + (xy 96.314562 118.346278) + (xy 96.263558 118.247844) + (xy 96.160362 118.137348) + (xy 96.034404 118.060751) + (xy 96.64856 117.446596) + (xy 96.648559 117.446595) + (xy 96.578353 117.391951) + (xy 96.374302 117.281523) + (xy 96.154854 117.206187) + (xy 95.926009 117.168) + (xy 95.69399 117.168) + (xy 95.465145 117.206187) + (xy 95.245697 117.281523) + (xy 95.041645 117.391951) + (xy 94.971439 117.446595) + (xy 94.971438 117.446595) + (xy 95.589134 118.064291) + (xy 95.521542 118.093651) + (xy 95.404261 118.189066) + (xy 95.317072 118.312585) + (xy 95.305186 118.346029) + (xy 94.686754 117.727597) + (xy 94.574513 117.899396) + (xy 94.48132 118.111854) + (xy 94.424362 118.336778) + (xy 94.405202 118.567996) + (xy 94.405202 118.568003) + (xy 93.2055 118.568003) + (xy 93.2055 114.518003) + (xy 94.604357 114.518003) + (xy 94.624883 114.739524) + (xy 94.624885 114.739537) + (xy 94.685769 114.953522) + (xy 94.685771 114.953527) + (xy 94.784942 115.15269) + (xy 94.784944 115.152693) + (xy 94.916356 115.32671) + (xy 94.919019 115.330236) + (xy 95.083438 115.480124) + (xy 95.272599 115.597247) + (xy 95.48006 115.677618) + (xy 95.698757 115.7185) + (xy 95.921243 115.7185) + (xy 96.13994 115.677618) + (xy 96.347401 115.597247) + (xy 96.536562 115.480124) + (xy 96.700981 115.330236) + (xy 96.835058 115.152689) + (xy 96.934229 114.953528) + (xy 96.995115 114.739536) + (xy 97.015643 114.518003) + (xy 101.104357 114.518003) + (xy 101.124883 114.739524) + (xy 101.124885 114.739537) + (xy 101.185769 114.953522) + (xy 101.185771 114.953527) + (xy 101.284942 115.15269) + (xy 101.284944 115.152693) + (xy 101.416356 115.32671) + (xy 101.419019 115.330236) + (xy 101.583438 115.480124) + (xy 101.772599 115.597247) + (xy 101.98006 115.677618) + (xy 102.198757 115.7185) + (xy 102.421243 115.7185) + (xy 102.63994 115.677618) + (xy 102.847401 115.597247) + (xy 103.036562 115.480124) + (xy 103.200981 115.330236) + (xy 103.335058 115.152689) + (xy 103.434229 114.953528) + (xy 103.495115 114.739536) + (xy 103.515643 114.518) + (xy 103.510344 114.460817) + (xy 103.495116 114.296475) + (xy 103.495115 114.296464) + (xy 103.434229 114.082472) + (xy 103.335058 113.883311) + (xy 103.335057 113.88331) + (xy 103.335057 113.883309) + (xy 103.335055 113.883306) + (xy 103.200983 113.705767) + (xy 103.200981 113.705764) + (xy 103.036562 113.555876) + (xy 102.847401 113.438753) + (xy 102.786168 113.415031) + (xy 102.639942 113.358382) + (xy 102.421246 113.3175) + (xy 102.421243 113.3175) + (xy 102.198757 113.3175) + (xy 102.198753 113.3175) + (xy 101.980057 113.358382) + (xy 101.772604 113.438751) + (xy 101.772599 113.438753) + (xy 101.772594 113.438755) + (xy 101.772594 113.438756) + (xy 101.58344 113.555874) + (xy 101.583437 113.555876) + (xy 101.583438 113.555876) + (xy 101.427148 113.698354) + (xy 101.419016 113.705767) + (xy 101.284944 113.883306) + (xy 101.284942 113.883309) + (xy 101.185771 114.082472) + (xy 101.185769 114.082477) + (xy 101.124885 114.296462) + (xy 101.124883 114.296475) + (xy 101.104357 114.517996) + (xy 101.104357 114.518003) + (xy 97.015643 114.518003) + (xy 97.015643 114.518) + (xy 97.010344 114.460817) + (xy 96.995116 114.296475) + (xy 96.995115 114.296464) + (xy 96.934229 114.082472) + (xy 96.835058 113.883311) + (xy 96.835057 113.88331) + (xy 96.835057 113.883309) + (xy 96.835055 113.883306) + (xy 96.700983 113.705767) + (xy 96.700981 113.705764) + (xy 96.536562 113.555876) + (xy 96.347401 113.438753) + (xy 96.286168 113.415031) + (xy 96.139942 113.358382) + (xy 95.921246 113.3175) + (xy 95.921243 113.3175) + (xy 95.698757 113.3175) + (xy 95.698753 113.3175) + (xy 95.480057 113.358382) + (xy 95.272604 113.438751) + (xy 95.272599 113.438753) + (xy 95.272594 113.438755) + (xy 95.272594 113.438756) + (xy 95.08344 113.555874) + (xy 95.083437 113.555876) + (xy 95.083438 113.555876) + (xy 94.927148 113.698354) + (xy 94.919016 113.705767) + (xy 94.784944 113.883306) + (xy 94.784942 113.883309) + (xy 94.685771 114.082472) + (xy 94.685769 114.082477) + (xy 94.624885 114.296462) + (xy 94.624883 114.296475) + (xy 94.604357 114.517996) + (xy 94.604357 114.518003) + (xy 93.2055 114.518003) + (xy 93.2055 110.018003) + (xy 94.405202 110.018003) + (xy 94.424362 110.249221) + (xy 94.48132 110.474145) + (xy 94.574515 110.686608) + (xy 94.686753 110.858401) + (xy 95.305435 110.239718) + (xy 95.356442 110.338156) + (xy 95.459638 110.448652) + (xy 95.585594 110.525248) + (xy 94.971439 111.139403) + (xy 95.041646 111.194048) + (xy 95.245697 111.304476) + (xy 95.465145 111.379812) + (xy 95.69399 111.417999) + (xy 95.693993 111.418) + (xy 95.926007 111.418) + (xy 95.926009 111.417999) + (xy 96.154854 111.379812) + (xy 96.374302 111.304476) + (xy 96.57835 111.19405) + (xy 96.648559 111.139402) + (xy 96.030865 110.521708) + (xy 96.098458 110.492349) + (xy 96.215739 110.396934) + (xy 96.302928 110.273415) + (xy 96.314814 110.23997) + (xy 96.933245 110.858402) + (xy 97.045484 110.686608) + (xy 97.138679 110.474145) + (xy 97.195637 110.249221) + (xy 97.214798 110.018003) + (xy 100.905202 110.018003) + (xy 100.924362 110.249221) + (xy 100.98132 110.474145) + (xy 101.074515 110.686608) + (xy 101.186753 110.858401) + (xy 101.805435 110.239718) + (xy 101.856442 110.338156) + (xy 101.959638 110.448652) + (xy 102.085594 110.525248) + (xy 101.471439 111.139403) + (xy 101.541646 111.194048) + (xy 101.745697 111.304476) + (xy 101.965145 111.379812) + (xy 102.19399 111.417999) + (xy 102.193993 111.418) + (xy 102.426007 111.418) + (xy 102.426009 111.417999) + (xy 102.654854 111.379812) + (xy 102.874302 111.304476) + (xy 103.07835 111.19405) + (xy 103.148559 111.139402) + (xy 102.530865 110.521708) + (xy 102.598458 110.492349) + (xy 102.715739 110.396934) + (xy 102.802928 110.273415) + (xy 102.814814 110.23997) + (xy 103.433245 110.858402) + (xy 103.545484 110.686608) + (xy 103.638679 110.474145) + (xy 103.695637 110.249221) + (xy 103.714798 110.018003) + (xy 103.714798 110.017996) + (xy 103.695637 109.786778) + (xy 103.638679 109.561854) + (xy 103.545484 109.349391) + (xy 103.433244 109.177596) + (xy 102.814562 109.796278) + (xy 102.763558 109.697844) + (xy 102.660362 109.587348) + (xy 102.534404 109.510751) + (xy 103.14856 108.896596) + (xy 103.148559 108.896595) + (xy 103.078353 108.841951) + (xy 102.874302 108.731523) + (xy 102.654854 108.656187) + (xy 102.426009 108.618) + (xy 102.19399 108.618) + (xy 101.965145 108.656187) + (xy 101.745697 108.731523) + (xy 101.541645 108.841951) + (xy 101.471439 108.896595) + (xy 101.471438 108.896595) + (xy 102.089134 109.514291) + (xy 102.021542 109.543651) + (xy 101.904261 109.639066) + (xy 101.817072 109.762585) + (xy 101.805186 109.796029) + (xy 101.186754 109.177597) + (xy 101.074513 109.349396) + (xy 100.98132 109.561854) + (xy 100.924362 109.786778) + (xy 100.905202 110.017996) + (xy 100.905202 110.018003) + (xy 97.214798 110.018003) + (xy 97.214798 110.017996) + (xy 97.195637 109.786778) + (xy 97.138679 109.561854) + (xy 97.045484 109.349391) + (xy 96.933244 109.177596) + (xy 96.314562 109.796278) + (xy 96.263558 109.697844) + (xy 96.160362 109.587348) + (xy 96.034404 109.510751) + (xy 96.64856 108.896596) + (xy 96.648559 108.896595) + (xy 96.578353 108.841951) + (xy 96.374302 108.731523) + (xy 96.154854 108.656187) + (xy 95.926009 108.618) + (xy 95.69399 108.618) + (xy 95.465145 108.656187) + (xy 95.245697 108.731523) + (xy 95.041645 108.841951) + (xy 94.971439 108.896595) + (xy 94.971438 108.896595) + (xy 95.589134 109.514291) + (xy 95.521542 109.543651) + (xy 95.404261 109.639066) + (xy 95.317072 109.762585) + (xy 95.305186 109.796029) + (xy 94.686754 109.177597) + (xy 94.574513 109.349396) + (xy 94.48132 109.561854) + (xy 94.424362 109.786778) + (xy 94.405202 110.017996) + (xy 94.405202 110.018003) + (xy 93.2055 110.018003) + (xy 93.2055 86.101478) + (xy 93.222813 86.053912) + (xy 93.227174 86.049152) + (xy 94.27707 84.999256) + (xy 99.7455 84.999256) + (xy 99.748275 85.028849) + (xy 99.748275 85.028851) + (xy 99.748276 85.028854) + (xy 99.791883 85.153474) + (xy 99.791884 85.153476) + (xy 99.85604 85.240405) + (xy 99.8705 85.284347) + (xy 99.8705 87.475209) + (xy 99.870183 87.480044) + (xy 99.865318 87.516999) + (xy 99.8705 87.556361) + (xy 99.885955 87.67376) + (xy 99.885955 87.673761) + (xy 99.946464 87.819841) + (xy 100.042715 87.945279) + (xy 100.042719 87.945283) + (xy 100.072286 87.96797) + (xy 100.07593 87.971165) + (xy 113.845833 101.741068) + (xy 113.849023 101.744705) + (xy 113.871718 101.774282) + (xy 113.997158 101.870536) + (xy 113.997264 101.870617) + (xy 113.998267 101.870995) + (xy 114.143238 101.931044) + (xy 114.3 101.951682) + (xy 114.329742 101.947766) + (xy 114.336955 101.946817) + (xy 114.34179 101.9465) + (xy 118.83021 101.9465) + (xy 118.835045 101.946817) + (xy 118.843112 101.947878) + (xy 118.872 101.951682) + (xy 119.028762 101.931044) + (xy 119.174841 101.870536) + (xy 119.300282 101.774282) + (xy 119.373431 101.678951) + (xy 119.416122 101.651754) + (xy 119.432139 101.65) + (xy 120.017747 101.65) + (xy 120.065313 101.667313) + (xy 120.087593 101.699558) + (xy 120.111884 101.768975) + (xy 120.190289 101.875211) + (xy 120.265941 101.931044) + (xy 120.296526 101.953617) + (xy 120.421145 101.997223) + (xy 120.421151 101.997225) + (xy 120.450744 102) + (xy 120.450751 102) + (xy 121.131249 102) + (xy 121.131256 102) + (xy 121.160849 101.997225) + (xy 121.278065 101.956209) + (xy 121.285473 101.953617) + (xy 121.285473 101.953616) + (xy 121.285475 101.953616) + (xy 121.391711 101.875211) + (xy 121.470116 101.768975) + (xy 121.478607 101.744711) + (xy 121.511134 101.651754) + (xy 121.513725 101.644349) + (xy 121.5165 101.614756) + (xy 121.5165 101.034244) + (xy 121.513725 101.004651) + (xy 121.511748 100.999) + (xy 121.470117 100.880026) + (xy 121.426896 100.821464) + (xy 121.391711 100.773789) + (xy 121.324013 100.723826) + (xy 121.285473 100.695382) + (xy 121.160854 100.651776) + (xy 121.160855 100.651776) + (xy 121.16085 100.651775) + (xy 121.160849 100.651775) + (xy 121.131256 100.649) + (xy 120.450744 100.649) + (xy 120.421151 100.651775) + (xy 120.421149 100.651775) + (xy 120.421145 100.651776) + (xy 120.296526 100.695382) + (xy 120.19029 100.773788) + (xy 120.190288 100.77379) + (xy 120.111883 100.880026) + (xy 120.087594 100.949441) + (xy 120.055543 100.98862) + (xy 120.017747 100.999) + (xy 119.399144 100.999) + (xy 119.351578 100.981687) + (xy 119.340436 100.970048) + (xy 119.300282 100.917718) + (xy 119.300277 100.917713) + (xy 119.174841 100.821464) + (xy 119.028761 100.760955) + (xy 118.892637 100.743034) + (xy 118.872 100.740318) + (xy 118.871999 100.740318) + (xy 118.835045 100.745183) + (xy 118.83021 100.7455) + (xy 114.579387 100.7455) + (xy 114.531821 100.728187) + (xy 114.527061 100.723826) + (xy 106.313235 92.51) + (xy 121.686 92.51) + (xy 122.473617 92.51) + (xy 122.436 92.638111) + (xy 122.436 92.781889) + (xy 122.473617 92.91) + (xy 121.686001 92.91) + (xy 121.686001 93.591482) + (xy 121.700834 93.685148) + (xy 121.700835 93.685149) + (xy 121.75836 93.798046) + (xy 121.847953 93.887639) + (xy 121.96085 93.945164) + (xy 122.054518 93.959999) + (xy 122.41087 93.959999) + (xy 122.458436 93.977312) + (xy 122.483746 94.021149) + (xy 122.474956 94.070999) + (xy 122.442144 94.101066) + (xy 122.308612 94.163333) + (xy 122.129445 94.288787) + (xy 121.974787 94.443445) + (xy 121.849334 94.622612) + (xy 121.756899 94.820838) + (xy 121.756894 94.820849) + (xy 121.700288 95.032106) + (xy 121.700287 95.032113) + (xy 121.698723 95.05) + (xy 122.473617 95.05) + (xy 122.436 95.178111) + (xy 122.436 95.321889) + (xy 122.473617 95.45) + (xy 121.698723 95.45) + (xy 121.700287 95.467886) + (xy 121.700288 95.467893) + (xy 121.756895 95.679153) + (xy 121.849334 95.877388) + (xy 121.974785 96.056551) + (xy 122.129448 96.211214) + (xy 122.308611 96.336665) + (xy 122.506846 96.429104) + (xy 122.718106 96.485711) + (xy 122.718113 96.485712) + (xy 122.736 96.487277) + (xy 122.736 95.708335) + (xy 122.793685 95.73468) + (xy 122.900237 95.75) + (xy 122.971763 95.75) + (xy 123.078315 95.73468) + (xy 123.136 95.708335) + (xy 123.136 96.487276) + (xy 123.153886 96.485712) + (xy 123.153893 96.485711) + (xy 123.365153 96.429104) + (xy 123.563388 96.336665) + (xy 123.742551 96.211214) + (xy 123.897214 96.056551) + (xy 124.022665 95.877388) + (xy 124.115104 95.679153) + (xy 124.171711 95.467893) + (xy 124.171712 95.467886) + (xy 124.173277 95.45) + (xy 123.398383 95.45) + (xy 123.436 95.321889) + (xy 123.436 95.178111) + (xy 123.398383 95.05) + (xy 124.173277 95.05) + (xy 124.171712 95.032113) + (xy 124.171711 95.032106) + (xy 124.115105 94.820849) + (xy 124.1151 94.820838) + (xy 124.022665 94.622612) + (xy 123.897212 94.443445) + (xy 123.742551 94.288785) + (xy 123.742552 94.288785) + (xy 123.563388 94.163334) + (xy 123.429854 94.101066) + (xy 123.394061 94.065273) + (xy 123.389649 94.014847) + (xy 123.418683 93.973382) + (xy 123.461128 93.959999) + (xy 123.817481 93.959999) + (xy 123.911148 93.945165) + (xy 123.911149 93.945164) + (xy 124.024046 93.887639) + (xy 124.113639 93.798046) + (xy 124.171164 93.685149) + (xy 124.171164 93.685148) + (xy 124.186 93.591481) + (xy 124.186 92.91) + (xy 123.398383 92.91) + (xy 123.436 92.781889) + (xy 123.436 92.638111) + (xy 123.398383 92.51) + (xy 124.185999 92.51) + (xy 124.185999 91.828517) + (xy 124.171165 91.734851) + (xy 124.171164 91.73485) + (xy 124.113639 91.621953) + (xy 124.024046 91.53236) + (xy 123.911149 91.474835) + (xy 123.817482 91.46) + (xy 123.135999 91.46) + (xy 123.135999 92.251663) + (xy 123.078315 92.22532) + (xy 122.971763 92.21) + (xy 122.900237 92.21) + (xy 122.793685 92.22532) + (xy 122.736 92.251664) + (xy 122.736 91.46) + (xy 122.054518 91.46) + (xy 121.960851 91.474834) + (xy 121.96085 91.474835) + (xy 121.847953 91.53236) + (xy 121.75836 91.621953) + (xy 121.700835 91.73485) + (xy 121.700835 91.734851) + (xy 121.686 91.828518) + (xy 121.686 92.51) + (xy 106.313235 92.51) + (xy 101.093174 87.289939) + (xy 101.071782 87.244063) + (xy 101.0715 87.237613) + (xy 101.0715 87.165641) + (xy 103.776999 87.165641) + (xy 103.7799 87.202493) + (xy 103.825718 87.360199) + (xy 103.909317 87.501556) + (xy 104.025443 87.617682) + (xy 104.1668 87.701281) + (xy 104.324508 87.747099) + (xy 104.324505 87.747099) + (xy 104.361359 87.75) + (xy 104.702 87.75) + (xy 104.702 86.9625) + (xy 105.102 86.9625) + (xy 105.102 87.75) + (xy 105.442641 87.75) + (xy 105.479493 87.747099) + (xy 105.637199 87.701281) + (xy 105.778556 87.617682) + (xy 105.894682 87.501556) + (xy 105.978281 87.360199) + (xy 106.024099 87.202493) + (xy 106.027 87.165641) + (xy 106.027 86.9625) + (xy 105.102 86.9625) + (xy 104.702 86.9625) + (xy 103.777 86.9625) + (xy 103.776999 87.165641) + (xy 101.0715 87.165641) + (xy 101.0715 86.956) + (xy 106.492001 86.956) + (xy 106.492001 86.995321) + (xy 106.507443 87.112628) + (xy 106.507444 87.112632) + (xy 106.5679 87.258587) + (xy 106.664075 87.383924) + (xy 106.789412 87.480099) + (xy 106.935369 87.540556) + (xy 107.052678 87.555999) + (xy 107.591999 87.555999) + (xy 107.592 87.555998) + (xy 107.592 86.956) + (xy 106.492001 86.956) + (xy 101.0715 86.956) + (xy 101.0715 86.359358) + (xy 103.776999 86.359358) + (xy 103.777 86.5625) + (xy 104.702 86.5625) + (xy 104.702 85.775) + (xy 105.102 85.775) + (xy 105.102 86.5625) + (xy 106.027 86.5625) + (xy 106.027 86.359358) + (xy 106.024099 86.322506) + (xy 105.978281 86.1648) + (xy 105.894682 86.023443) + (xy 105.778556 85.907317) + (xy 105.637199 85.823718) + (xy 105.479491 85.7779) + (xy 105.479494 85.7779) + (xy 105.442641 85.775) + (xy 105.102 85.775) + (xy 104.702 85.775) + (xy 104.361359 85.775) + (xy 104.324506 85.7779) + (xy 104.1668 85.823718) + (xy 104.025443 85.907317) + (xy 103.909317 86.023443) + (xy 103.825718 86.1648) + (xy 103.7799 86.322506) + (xy 103.776999 86.359358) + (xy 101.0715 86.359358) + (xy 101.0715 85.284347) + (xy 101.08596 85.240405) + (xy 101.150115 85.153476) + (xy 101.150116 85.153475) + (xy 101.193725 85.028849) + (xy 101.1965 84.999256) + (xy 101.4955 84.999256) + (xy 101.498275 85.028849) + (xy 101.498275 85.028851) + (xy 101.498276 85.028854) + (xy 101.541882 85.153473) + (xy 101.545464 85.158326) + (xy 101.620289 85.259711) + (xy 101.662125 85.290587) + (xy 101.726526 85.338117) + (xy 101.851145 85.381723) + (xy 101.851151 85.381725) + (xy 101.880744 85.3845) + (xy 101.880751 85.3845) + (xy 102.561249 85.3845) + (xy 102.561256 85.3845) + (xy 102.590849 85.381725) + (xy 102.66909 85.354347) + (xy 102.715473 85.338117) + (xy 102.715473 85.338116) + (xy 102.715475 85.338116) + (xy 102.821711 85.259711) + (xy 102.900116 85.153475) + (xy 102.924406 85.084058) + (xy 102.956457 85.04488) + (xy 102.994253 85.0345) + (xy 103.904917 85.0345) + (xy 103.952483 85.051813) + (xy 103.977793 85.09565) + (xy 103.978592 85.101575) + (xy 103.979354 85.109699) + (xy 103.979355 85.109705) + (xy 104.024206 85.237881) + (xy 104.024207 85.237883) + (xy 104.104846 85.347146) + (xy 104.104853 85.347153) + (xy 104.214116 85.427792) + (xy 104.214118 85.427793) + (xy 104.342295 85.472644) + (xy 104.342301 85.472646) + (xy 104.372734 85.4755) + (xy 104.372741 85.4755) + (xy 105.431258 85.4755) + (xy 105.431266 85.4755) + (xy 105.461699 85.472646) + (xy 105.589882 85.427793) + (xy 105.69915 85.34715) + (xy 105.779793 85.237882) + (xy 105.824646 85.109699) + (xy 105.827422 85.080089) + (xy 105.849101 85.034349) + (xy 105.895109 85.013243) + (xy 105.901099 85.013) + (xy 106.751956 85.013) + (xy 106.799522 85.030313) + (xy 106.804282 85.034674) + (xy 106.823282 85.053674) + (xy 106.844674 85.09955) + (xy 106.831573 85.148445) + (xy 106.823282 85.158326) + (xy 106.763951 85.217656) + (xy 106.706353 85.330698) + (xy 106.6915 85.42448) + (xy 106.6915 85.887519) + (xy 106.706353 85.981304) + (xy 106.706354 85.981306) + (xy 106.720292 86.008661) + (xy 106.72646 86.058902) + (xy 106.699407 86.100962) + (xy 106.664075 86.128074) + (xy 106.664072 86.128077) + (xy 106.567902 86.253408) + (xy 106.5679 86.253412) + (xy 106.507443 86.399369) + (xy 106.492 86.516678) + (xy 106.492 86.556) + (xy 107.918 86.556) + (xy 107.965566 86.573313) + (xy 107.990876 86.61715) + (xy 107.992 86.63) + (xy 107.992 87.555999) + (xy 108.13 87.555999) + (xy 108.177566 87.573312) + (xy 108.202876 87.617149) + (xy 108.204 87.629998) + (xy 108.204 87.884) + (xy 119.379999 87.884) + (xy 119.38 87.884) + (xy 119.379999 87.430498) + (xy 119.397312 87.382933) + (xy 119.441149 87.357623) + (xy 119.453999 87.356499) + (xy 120.523519 87.356499) + (xy 120.617304 87.341646) + (xy 120.617306 87.341645) + (xy 120.619419 87.340568) + (xy 120.730342 87.28405) + (xy 120.82005 87.194342) + (xy 120.877646 87.081304) + (xy 120.8925 86.987519) + (xy 120.892499 86.524482) + (xy 120.877646 86.430696) + (xy 120.877646 86.430695) + (xy 120.877645 86.430693) + (xy 120.820049 86.317657) + (xy 120.760718 86.258326) + (xy 120.739326 86.21245) + (xy 120.752427 86.163555) + (xy 120.760718 86.153674) + (xy 120.786318 86.128074) + (xy 120.82005 86.094342) + (xy 120.877646 85.981304) + (xy 120.8925 85.887519) + (xy 120.892499 85.424482) + (xy 120.891433 85.417753) + (xy 120.877646 85.330695) + (xy 120.877645 85.330693) + (xy 120.830355 85.237883) + (xy 120.82005 85.217658) + (xy 120.760717 85.158325) + (xy 120.739326 85.11245) + (xy 120.752427 85.063555) + (xy 120.760718 85.053674) + (xy 120.785543 85.028849) + (xy 120.82005 84.994342) + (xy 120.877646 84.881304) + (xy 120.8925 84.787519) + (xy 120.892499 84.324482) + (xy 120.890126 84.3095) + (xy 120.877646 84.230695) + (xy 120.877645 84.230693) + (xy 120.840753 84.15829) + (xy 120.82005 84.117658) + (xy 120.760717 84.058325) + (xy 120.739326 84.01245) + (xy 120.752427 83.963555) + (xy 120.760718 83.953674) + (xy 120.767186 83.947206) + (xy 120.82005 83.894342) + (xy 120.877646 83.781304) + (xy 120.8925 83.687519) + (xy 120.892499 83.224482) + (xy 120.877646 83.130696) + (xy 120.877646 83.130695) + (xy 120.877645 83.130693) + (xy 120.820049 83.017657) + (xy 120.760718 82.958326) + (xy 120.739326 82.91245) + (xy 120.752427 82.863555) + (xy 120.760718 82.853674) + (xy 120.777741 82.836651) + (xy 120.82005 82.794342) + (xy 120.877646 82.681304) + (xy 120.8925 82.587519) + (xy 120.892499 82.124482) + (xy 120.88585 82.0825) + (xy 120.877646 82.030695) + (xy 120.877645 82.030693) + (xy 120.820049 81.917657) + (xy 120.760718 81.858326) + (xy 120.739326 81.81245) + (xy 120.752427 81.763555) + (xy 120.760718 81.753674) + (xy 120.787543 81.726849) + (xy 120.82005 81.694342) + (xy 120.877646 81.581304) + (xy 120.8925 81.487519) + (xy 120.892499 81.024482) + (xy 120.877646 80.930696) + (xy 120.877646 80.930695) + (xy 120.877645 80.930694) + (xy 120.863707 80.903339) + (xy 120.857537 80.853097) + (xy 120.884594 80.811033) + (xy 120.919923 80.783925) + (xy 121.016099 80.658587) + (xy 121.076556 80.51263) + (xy 121.092 80.395321) + (xy 121.092 80.356) + (xy 119.666 80.356) + (xy 119.618434 80.338687) + (xy 119.593124 80.29485) + (xy 119.592 80.282) + (xy 119.592 79.356) + (xy 119.992 79.356) + (xy 119.992 79.956) + (xy 121.091999 79.956) + (xy 121.091999 79.916678) + (xy 121.076556 79.799371) + (xy 121.076555 79.799367) + (xy 121.016099 79.653412) + (xy 120.919924 79.528075) + (xy 120.794587 79.4319) + (xy 120.64863 79.371443) + (xy 120.531321 79.356) + (xy 119.992 79.356) + (xy 119.592 79.356) + (xy 119.453999 79.356) + (xy 119.406433 79.338687) + (xy 119.381123 79.29485) + (xy 119.379999 79.282) + (xy 119.379999 77.830499) + (xy 119.397312 77.782933) + (xy 119.441149 77.757623) + (xy 119.453999 77.756499) + (xy 120.523519 77.756499) + (xy 120.617304 77.741646) + (xy 120.617306 77.741645) + (xy 120.640392 77.729882) + (xy 120.730342 77.68405) + (xy 120.82005 77.594342) + (xy 120.877646 77.481304) + (xy 120.8925 77.387519) + (xy 120.892499 77.081476) + (xy 120.909812 77.033911) + (xy 120.953649 77.008601) + (xy 121.003499 77.017391) + (xy 121.018825 77.029151) + (xy 121.676326 77.686652) + (xy 121.697718 77.732527) + (xy 121.698 77.738977) + (xy 121.697999 78.139372) + (xy 121.680686 78.186938) + (xy 121.648441 78.209219) + (xy 121.566525 78.237883) + (xy 121.46029 78.316288) + (xy 121.460288 78.31629) + (xy 121.381882 78.422526) + (xy 121.338276 78.547145) + (xy 121.338275 78.547149) + (xy 121.338275 78.547151) + (xy 121.3355 78.576744) + (xy 121.3355 79.157256) + (xy 121.338275 79.186849) + (xy 121.338275 79.186851) + (xy 121.338276 79.186854) + (xy 121.381882 79.311473) + (xy 121.397245 79.332289) + (xy 121.460289 79.417711) + (xy 121.50832 79.453159) + (xy 121.566526 79.496117) + (xy 121.691145 79.539723) + (xy 121.691151 79.539725) + (xy 121.720744 79.5425) + (xy 121.720751 79.5425) + (xy 122.326249 79.5425) + (xy 122.326256 79.5425) + (xy 122.355849 79.539725) + (xy 122.435599 79.511819) + (xy 122.480473 79.496117) + (xy 122.480473 79.496116) + (xy 122.480475 79.496116) + (xy 122.586711 79.417711) + (xy 122.665116 79.311475) + (xy 122.670934 79.29485) + (xy 122.703115 79.20288) + (xy 122.708725 79.186849) + (xy 122.7115 79.157256) + (xy 122.7115 78.576744) + (xy 122.708725 78.547151) + (xy 122.706025 78.539434) + (xy 122.665117 78.422526) + (xy 122.640197 78.388761) + (xy 122.586711 78.316289) + (xy 122.536134 78.278962) + (xy 122.480473 78.237882) + (xy 122.398558 78.209219) + (xy 122.359379 78.177168) + (xy 122.349 78.139374) + (xy 122.349 78.006476) + (xy 122.366313 77.95891) + (xy 122.410151 77.933601) + (xy 122.46 77.942391) + (xy 122.475321 77.954147) + (xy 122.837463 78.316288) + (xy 123.138826 78.617651) + (xy 123.160218 78.663527) + (xy 123.1605 78.669977) + (xy 123.1605 79.157256) + (xy 123.163275 79.186849) + (xy 123.163275 79.186851) + (xy 123.163276 79.186854) + (xy 123.206882 79.311473) + (xy 123.222245 79.332289) + (xy 123.285289 79.417711) + (xy 123.33332 79.453159) + (xy 123.391526 79.496117) + (xy 123.516145 79.539723) + (xy 123.516151 79.539725) + (xy 123.545744 79.5425) + (xy 123.545751 79.5425) + (xy 124.151249 79.5425) + (xy 124.151256 79.5425) + (xy 124.180849 79.539725) + (xy 124.260599 79.511819) + (xy 124.305473 79.496117) + (xy 124.305473 79.496116) + (xy 124.305475 79.496116) + (xy 124.411711 79.417711) + (xy 124.490116 79.311475) + (xy 124.514406 79.242058) + (xy 124.546457 79.20288) + (xy 124.584253 79.1925) + (xy 124.8465 79.1925) + (xy 124.894066 79.209813) + (xy 124.919376 79.25365) + (xy 124.9205 79.266499) + (xy 124.9205 79.777266) + (xy 124.923354 79.807699) + (xy 124.923354 79.807701) + (xy 124.923355 79.807704) + (xy 124.968206 79.935881) + (xy 124.968207 79.935883) + (xy 125.048846 80.045146) + (xy 125.048853 80.045153) + (xy 125.158116 80.125792) + (xy 125.158118 80.125793) + (xy 125.286295 80.170644) + (xy 125.286301 80.170646) + (xy 125.316734 80.1735) + (xy 125.316741 80.1735) + (xy 126.100258 80.1735) + (xy 126.100266 80.1735) + (xy 126.130699 80.170646) + (xy 126.258882 80.125793) + (xy 126.36815 80.04515) + (xy 126.448793 79.935882) + (xy 126.493646 79.807699) + (xy 126.4965 79.777266) + (xy 126.4965 79.448) + (xy 126.796 79.448) + (xy 126.796 79.788641) + (xy 126.7989 79.825493) + (xy 126.844718 79.983199) + (xy 126.928317 80.124556) + (xy 127.044443 80.240682) + (xy 127.1858 80.324281) + (xy 127.343508 80.370099) + (xy 127.343505 80.370099) + (xy 127.380359 80.373) + (xy 127.5835 80.373) + (xy 127.5835 79.448) + (xy 127.9835 79.448) + (xy 127.9835 80.373) + (xy 128.186641 80.373) + (xy 128.223493 80.370099) + (xy 128.381199 80.324281) + (xy 128.522556 80.240682) + (xy 128.638682 80.124556) + (xy 128.722281 79.983199) + (xy 128.768099 79.825493) + (xy 128.771 79.788641) + (xy 128.771 79.448) + (xy 127.9835 79.448) + (xy 127.5835 79.448) + (xy 126.796 79.448) + (xy 126.4965 79.448) + (xy 126.4965 79.047999) + (xy 126.795999 79.047999) + (xy 126.796 79.048) + (xy 127.5835 79.048) + (xy 127.5835 78.123) + (xy 127.9835 78.123) + (xy 127.9835 79.048) + (xy 128.771 79.048) + (xy 128.771 78.707358) + (xy 128.768099 78.670506) + (xy 128.722281 78.5128) + (xy 128.638682 78.371443) + (xy 128.522556 78.255317) + (xy 128.381199 78.171718) + (xy 128.223491 78.1259) + (xy 128.223494 78.1259) + (xy 128.186641 78.123) + (xy 127.9835 78.123) + (xy 127.5835 78.123) + (xy 127.380359 78.123) + (xy 127.343506 78.1259) + (xy 127.1858 78.171718) + (xy 127.044443 78.255317) + (xy 126.928317 78.371443) + (xy 126.844718 78.5128) + (xy 126.7989 78.670506) + (xy 126.796 78.707358) + (xy 126.795999 79.047999) + (xy 126.4965 79.047999) + (xy 126.4965 78.718734) + (xy 126.493646 78.688301) + (xy 126.483842 78.660284) + (xy 126.448793 78.560118) + (xy 126.448792 78.560116) + (xy 126.368153 78.450853) + (xy 126.368146 78.450846) + (xy 126.258883 78.370207) + (xy 126.258881 78.370206) + (xy 126.130704 78.325355) + (xy 126.130705 78.325355) + (xy 126.1307 78.325354) + (xy 126.130699 78.325354) + (xy 126.100266 78.3225) + (xy 125.316734 78.3225) + (xy 125.286301 78.325354) + (xy 125.286299 78.325354) + (xy 125.286295 78.325355) + (xy 125.158118 78.370206) + (xy 125.158116 78.370207) + (xy 125.048855 78.450845) + (xy 125.048852 78.450848) + (xy 125.048851 78.450849) + (xy 125.04885 78.45085) + (xy 125.00413 78.511443) + (xy 124.961957 78.539434) + (xy 124.944592 78.5415) + (xy 124.584253 78.5415) + (xy 124.536687 78.524187) + (xy 124.514406 78.491941) + (xy 124.490116 78.422525) + (xy 124.411711 78.316289) + (xy 124.361134 78.278962) + (xy 124.305473 78.237882) + (xy 124.180854 78.194276) + (xy 124.180855 78.194276) + (xy 124.18085 78.194275) + (xy 124.180849 78.194275) + (xy 124.151256 78.1915) + (xy 124.15125 78.1915) + (xy 123.663978 78.1915) + (xy 123.616412 78.174187) + (xy 123.611652 78.169826) + (xy 123.331013 77.889187) + (xy 123.029172 77.587346) + (xy 123.007781 77.541472) + (xy 123.0075 77.535044) + (xy 123.0075 77.0065) + (xy 123.024813 76.958934) + (xy 123.06865 76.933624) + (xy 123.0815 76.9325) + (xy 123.086258 76.9325) + (xy 123.086266 76.9325) + (xy 123.116699 76.929646) + (xy 123.244882 76.884793) + (xy 123.35415 76.80415) + (xy 123.434793 76.694882) + (xy 123.479646 76.566699) + (xy 123.4825 76.536266) + (xy 123.4825 75.877734) + (xy 123.479646 75.847301) + (xy 123.434793 75.719118) + (xy 123.423148 75.703339) + (xy 123.354153 75.609853) + (xy 123.354146 75.609846) + (xy 123.244883 75.529207) + (xy 123.244881 75.529206) + (xy 123.116704 75.484355) + (xy 123.116705 75.484355) + (xy 123.1167 75.484354) + (xy 123.116699 75.484354) + (xy 123.086266 75.4815) + (xy 122.277734 75.4815) + (xy 122.247301 75.484354) + (xy 122.247299 75.484354) + (xy 122.247295 75.484355) + (xy 122.119118 75.529206) + (xy 122.119116 75.529207) + (xy 122.009853 75.609846) + (xy 122.009846 75.609853) + (xy 121.929207 75.719116) + (xy 121.929206 75.719118) + (xy 121.884355 75.847295) + (xy 121.884354 75.847299) + (xy 121.884354 75.847301) + (xy 121.8815 75.877734) + (xy 121.8815 76.536266) + (xy 121.884354 76.566699) + (xy 121.884354 76.566701) + (xy 121.884355 76.566704) + (xy 121.929206 76.694881) + (xy 121.929207 76.694883) + (xy 122.009846 76.804146) + (xy 122.009853 76.804153) + (xy 122.119116 76.884792) + (xy 122.119118 76.884793) + (xy 122.247295 76.929644) + (xy 122.247301 76.929646) + (xy 122.277734 76.9325) + (xy 122.2825 76.9325) + (xy 122.330066 76.949813) + (xy 122.355376 76.99365) + (xy 122.3565 77.0065) + (xy 122.3565 77.267522) + (xy 122.339187 77.315088) + (xy 122.29535 77.340398) + (xy 122.2455 77.331608) + (xy 122.230174 77.319848) + (xy 120.914173 76.003846) + (xy 120.892781 75.957969) + (xy 120.892499 75.95152) + (xy 120.892499 75.82448) + (xy 120.877646 75.730695) + (xy 120.877645 75.730694) + (xy 120.863707 75.703339) + (xy 120.857537 75.653097) + (xy 120.884594 75.611033) + (xy 120.919923 75.583925) + (xy 121.016099 75.458587) + (xy 121.076556 75.31263) + (xy 121.092 75.195321) + (xy 121.092 75.156) + (xy 119.666 75.156) + (xy 119.618434 75.138687) + (xy 119.593124 75.09485) + (xy 119.592 75.082) + (xy 119.592 74.83) + (xy 119.609313 74.782434) + (xy 119.65315 74.757124) + (xy 119.666 74.756) + (xy 121.091998 74.756) + (xy 121.091999 74.75) + (xy 121.109312 74.702434) + (xy 121.153149 74.677124) + (xy 121.165999 74.676) + (xy 124.64 74.676) + (xy 124.687566 74.693313) + (xy 124.712876 74.73715) + (xy 124.714 74.75) + (xy 124.714 74.964327) + (xy 124.696687 75.011893) + (xy 124.668318 75.032694) + (xy 124.527524 75.091012) + (xy 124.527513 75.091018) + (xy 124.322855 75.216433) + (xy 124.32285 75.216436) + (xy 124.292878 75.242035) + (xy 124.692326 75.641483) + (xy 124.713718 75.687359) + (xy 124.714 75.693809) + (xy 124.714 75.767656) + (xy 124.704569 75.773331) + (xy 124.570342 75.900477) + (xy 124.495709 76.010551) + (xy 124.010036 75.524878) + (xy 124.010035 75.524878) + (xy 123.984436 75.55485) + (xy 123.984433 75.554855) + (xy 123.859015 75.759517) + (xy 123.859008 75.75953) + (xy 123.767152 75.981293) + (xy 123.711117 76.214698) + (xy 123.692284 76.454) + (xy 123.711117 76.693301) + (xy 123.767152 76.926706) + (xy 123.859008 77.148469) + (xy 123.859015 77.148482) + (xy 123.984432 77.353142) + (xy 123.984433 77.353143) + (xy 124.010036 77.38312) + (xy 124.495266 76.897889) + (xy 124.514316 76.933822) + (xy 124.634009 77.074735) + (xy 124.776095 77.182746) + (xy 124.292878 77.665963) + (xy 124.322846 77.691559) + (xy 124.32286 77.691569) + (xy 124.527517 77.816984) + (xy 124.52753 77.816991) + (xy 124.749293 77.908847) + (xy 124.982698 77.964882) + (xy 125.221999 77.983715) + (xy 125.461301 77.964882) + (xy 125.694706 77.908847) + (xy 125.916469 77.816991) + (xy 125.916482 77.816984) + (xy 126.121139 77.691569) + (xy 126.121152 77.691559) + (xy 126.15112 77.665963) + (xy 125.664756 77.179599) + (xy 125.739431 77.134669) + (xy 125.873658 77.007523) + (xy 125.904523 76.962) + (xy 125.98219 76.962) + (xy 126.029756 76.979313) + (xy 126.034516 76.983674) + (xy 126.433963 77.38312) + (xy 126.459559 77.353152) + (xy 126.459569 77.353139) + (xy 126.584981 77.148486) + (xy 126.584987 77.148475) + (xy 126.643306 77.007682) + (xy 126.677504 76.970361) + (xy 126.711673 76.962) + (xy 128.812327 76.962) + (xy 128.859893 76.979313) + (xy 128.880694 77.007682) + (xy 128.939012 77.148475) + (xy 128.939018 77.148486) + (xy 129.064432 77.353142) + (xy 129.064433 77.353143) + (xy 129.090036 77.38312) + (xy 129.489484 76.983674) + (xy 129.535361 76.962282) + (xy 129.54181 76.962) + (xy 129.618251 76.962) + (xy 129.714009 77.074735) + (xy 129.856095 77.182746) + (xy 129.372878 77.665963) + (xy 129.402846 77.691559) + (xy 129.40286 77.691569) + (xy 129.607517 77.816984) + (xy 129.60753 77.816991) + (xy 129.829293 77.908847) + (xy 130.062698 77.964882) + (xy 130.301999 77.983715) + (xy 130.541301 77.964882) + (xy 130.774706 77.908847) + (xy 130.996469 77.816991) + (xy 130.996482 77.816984) + (xy 131.201139 77.691569) + (xy 131.201152 77.691559) + (xy 131.23112 77.665963) + (xy 130.744756 77.179599) + (xy 130.819431 77.134669) + (xy 130.953658 77.007523) + (xy 131.02829 76.897447) + (xy 131.513963 77.38312) + (xy 131.539559 77.353152) + (xy 131.539569 77.353139) + (xy 131.664984 77.148482) + (xy 131.664991 77.148469) + (xy 131.756847 76.926706) + (xy 131.812882 76.693301) + (xy 131.831715 76.453999) + (xy 131.812882 76.214698) + (xy 131.756847 75.981293) + (xy 131.664991 75.75953) + (xy 131.664984 75.759517) + (xy 131.539569 75.55486) + (xy 131.539559 75.554846) + (xy 131.513963 75.524878) + (xy 131.513962 75.524878) + (xy 131.028732 76.010107) + (xy 131.009684 75.974178) + (xy 130.889991 75.833265) + (xy 130.81 75.772457) + (xy 130.81 75.693809) + (xy 130.827313 75.646243) + (xy 130.831674 75.641483) + (xy 131.23112 75.242036) + (xy 131.201143 75.216433) + (xy 131.201142 75.216432) + (xy 130.996486 75.091018) + (xy 130.996475 75.091012) + (xy 130.855682 75.032694) + (xy 130.818361 74.998496) + (xy 130.81 74.964327) + (xy 130.81 73.938468) + (xy 130.81 72.863669) + (xy 130.827312 72.816106) + (xy 130.855681 72.795305) + (xy 130.996476 72.736987) + (xy 130.99648 72.736985) + (xy 131.201139 72.611569) + (xy 131.201152 72.611559) + (xy 131.23112 72.585963) + (xy 130.831674 72.186516) + (xy 130.810282 72.140639) + (xy 130.81 72.13419) + (xy 130.81 72.060343) + (xy 130.819431 72.054669) + (xy 130.953658 71.927523) + (xy 131.02829 71.817447) + (xy 131.513963 72.30312) + (xy 131.539559 72.273152) + (xy 131.539569 72.273139) + (xy 131.664984 72.068482) + (xy 131.664991 72.068469) + (xy 131.756847 71.846706) + (xy 131.812882 71.613301) + (xy 131.831715 71.373999) + (xy 131.812882 71.134698) + (xy 131.756847 70.901293) + (xy 131.664991 70.67953) + (xy 131.664984 70.679517) + (xy 131.539569 70.47486) + (xy 131.539559 70.474846) + (xy 131.513963 70.444878) + (xy 131.513962 70.444878) + (xy 131.028732 70.930107) + (xy 131.009684 70.894178) + (xy 130.889991 70.753265) + (xy 130.747903 70.645253) + (xy 131.23112 70.162036) + (xy 131.201143 70.136433) + (xy 131.201142 70.136432) + (xy 130.996482 70.011015) + (xy 130.996469 70.011008) + (xy 130.774706 69.919152) + (xy 130.541301 69.863117) + (xy 130.302 69.844284) + (xy 130.062698 69.863117) + (xy 129.829293 69.919152) + (xy 129.60753 70.011008) + (xy 129.607517 70.011015) + (xy 129.402855 70.136433) + (xy 129.40285 70.136436) + (xy 129.372878 70.162035) + (xy 129.859243 70.6484) + (xy 129.784569 70.693331) + (xy 129.650342 70.820477) + (xy 129.619477 70.866) + (xy 129.54181 70.866) + (xy 129.494244 70.848687) + (xy 129.489484 70.844326) + (xy 129.090036 70.444878) + (xy 129.090035 70.444878) + (xy 129.064436 70.47485) + (xy 129.064433 70.474855) + (xy 128.939018 70.679513) + (xy 128.939012 70.679524) + (xy 128.880694 70.820318) + (xy 128.846496 70.857639) + (xy 128.812327 70.866) + (xy 126.711673 70.866) + (xy 126.664107 70.848687) + (xy 126.643306 70.820318) + (xy 126.584987 70.679524) + (xy 126.584981 70.679513) + (xy 126.459569 70.47486) + (xy 126.459559 70.474846) + (xy 126.433963 70.444878) + (xy 126.034516 70.844326) + (xy 125.98864 70.865718) + (xy 125.98219 70.866) + (xy 125.905749 70.866) + (xy 125.809991 70.753265) + (xy 125.667903 70.645253) + (xy 126.15112 70.162036) + (xy 126.121143 70.136433) + (xy 126.121142 70.136432) + (xy 125.916482 70.011015) + (xy 125.916469 70.011008) + (xy 125.694706 69.919152) + (xy 125.461301 69.863117) + (xy 125.222 69.844284) + (xy 124.982698 69.863117) + (xy 124.749293 69.919152) + (xy 124.52753 70.011008) + (xy 124.527517 70.011015) + (xy 124.322855 70.136433) + (xy 124.32285 70.136436) + (xy 124.292878 70.162035) + (xy 124.779243 70.6484) + (xy 124.704569 70.693331) + (xy 124.570342 70.820477) + (xy 124.495709 70.930551) + (xy 124.010036 70.444878) + (xy 124.010035 70.444878) + (xy 123.984436 70.47485) + (xy 123.984433 70.474855) + (xy 123.859015 70.679517) + (xy 123.859008 70.67953) + (xy 123.767152 70.901293) + (xy 123.711117 71.134698) + (xy 123.692284 71.374) + (xy 123.711117 71.613301) + (xy 123.767152 71.846706) + (xy 123.859008 72.068469) + (xy 123.859015 72.068482) + (xy 123.984432 72.273142) + (xy 123.984433 72.273143) + (xy 124.010036 72.30312) + (xy 124.495266 71.817889) + (xy 124.514316 71.853822) + (xy 124.634009 71.994735) + (xy 124.713999 72.055541) + (xy 124.713999 72.134189) + (xy 124.696686 72.181755) + (xy 124.692325 72.186515) + (xy 124.292878 72.585962) + (xy 124.292878 72.585963) + (xy 124.322846 72.611559) + (xy 124.32286 72.611569) + (xy 124.527519 72.736985) + (xy 124.527523 72.736987) + (xy 124.668318 72.795305) + (xy 124.705638 72.829502) + (xy 124.714 72.863672) + (xy 124.714 72.951) + (xy 124.696687 72.998566) + (xy 124.65285 73.023876) + (xy 124.64 73.025) + (xy 121.166 73.025) + (xy 121.118434 73.007687) + (xy 121.093124 72.96385) + (xy 121.092479 72.956479) + (xy 121.092 72.956) + (xy 119.666 72.956) + (xy 119.618434 72.938687) + (xy 119.593124 72.89485) + (xy 119.592 72.882) + (xy 119.592 71.956) + (xy 119.992 71.956) + (xy 119.992 72.556) + (xy 121.091999 72.556) + (xy 121.091999 72.516678) + (xy 121.076556 72.399371) + (xy 121.076555 72.399367) + (xy 121.016099 72.253412) + (xy 120.919924 72.128075) + (xy 120.794587 72.0319) + (xy 120.64863 71.971443) + (xy 120.531321 71.956) + (xy 119.992 71.956) + (xy 119.592 71.956) + (xy 119.453999 71.956) + (xy 119.406433 71.938687) + (xy 119.381123 71.89485) + (xy 119.379999 71.882009) + (xy 119.38 71.628) + (xy 108.204 71.628) + (xy 108.204 71.882) + (xy 108.186687 71.929566) + (xy 108.14285 71.954876) + (xy 108.13 71.956) + (xy 107.992 71.956) + (xy 107.992 72.882) + (xy 107.974687 72.929566) + (xy 107.93085 72.954876) + (xy 107.918 72.956) + (xy 106.492001 72.956) + (xy 106.492001 72.995321) + (xy 106.507443 73.112628) + (xy 106.507444 73.112632) + (xy 106.5679 73.258587) + (xy 106.664076 73.383925) + (xy 106.664077 73.383926) + (xy 106.699406 73.411035) + (xy 106.726604 73.453726) + (xy 106.720293 73.503337) + (xy 106.706354 73.530693) + (xy 106.706354 73.530695) + (xy 106.6915 73.62448) + (xy 106.6915 74.087519) + (xy 106.706353 74.181304) + (xy 106.706354 74.181306) + (xy 106.76395 74.294342) + (xy 106.823282 74.353674) + (xy 106.844674 74.39955) + (xy 106.831573 74.448445) + (xy 106.823282 74.458326) + (xy 106.763951 74.517656) + (xy 106.706353 74.630698) + (xy 106.6915 74.72448) + (xy 106.6915 75.187519) + (xy 106.706353 75.281304) + (xy 106.706354 75.281306) + (xy 106.76395 75.394342) + (xy 106.823282 75.453674) + (xy 106.844674 75.49955) + (xy 106.831573 75.548445) + (xy 106.823282 75.558326) + (xy 106.763951 75.617656) + (xy 106.706353 75.730698) + (xy 106.6915 75.82448) + (xy 106.6915 76.287519) + (xy 106.706353 76.381304) + (xy 106.706354 76.381306) + (xy 106.76395 76.494342) + (xy 106.823282 76.553674) + (xy 106.844674 76.59955) + (xy 106.831573 76.648445) + (xy 106.823282 76.658326) + (xy 106.763951 76.717656) + (xy 106.706353 76.830698) + (xy 106.6915 76.92448) + (xy 106.6915 77.387519) + (xy 106.706353 77.481304) + (xy 106.706354 77.481306) + (xy 106.737011 77.541472) + (xy 106.76395 77.594342) + (xy 106.853658 77.68405) + (xy 106.966696 77.741646) + (xy 107.060481 77.7565) + (xy 108.13 77.756499) + (xy 108.177566 77.773812) + (xy 108.202876 77.817649) + (xy 108.204 77.830499) + (xy 108.204 79.4815) + (xy 108.186687 79.529066) + (xy 108.14285 79.554376) + (xy 108.13 79.5555) + (xy 107.224478 79.5555) + (xy 107.176912 79.538187) + (xy 107.172152 79.533826) + (xy 105.778357 78.140031) + (xy 105.776176 78.137651) + (xy 105.773363 78.134299) + (xy 105.749455 78.105806) + (xy 105.732088 78.095778) + (xy 105.713458 78.085022) + (xy 105.710736 78.083288) + (xy 105.676685 78.059446) + (xy 105.676682 78.059445) + (xy 105.672047 78.058203) + (xy 105.6542 78.050811) + (xy 105.650044 78.048411) + (xy 105.609114 78.041193) + (xy 105.605964 78.040495) + (xy 105.565806 78.029735) + (xy 105.565805 78.029735) + (xy 105.532818 78.032622) + (xy 105.524394 78.033359) + (xy 105.521174 78.0335) + (xy 105.280253 78.0335) + (xy 105.232687 78.016187) + (xy 105.210406 77.983941) + (xy 105.192791 77.933601) + (xy 105.186116 77.914525) + (xy 105.107711 77.808289) + (xy 105.057135 77.770962) + (xy 105.001473 77.729882) + (xy 104.876854 77.686276) + (xy 104.876855 77.686276) + (xy 104.87685 77.686275) + (xy 104.876849 77.686275) + (xy 104.847256 77.6835) + (xy 104.241744 77.6835) + (xy 104.212151 77.686275) + (xy 104.212149 77.686275) + (xy 104.212145 77.686276) + (xy 104.087526 77.729882) + (xy 103.98129 77.808288) + (xy 103.981288 77.80829) + (xy 103.902882 77.914526) + (xy 103.859276 78.039145) + (xy 103.859275 78.039149) + (xy 103.859275 78.039151) + (xy 103.8565 78.068744) + (xy 103.8565 78.649256) + (xy 103.859275 78.678849) + (xy 103.859275 78.678851) + (xy 103.859276 78.678854) + (xy 103.902882 78.803473) + (xy 103.943962 78.859134) + (xy 103.981289 78.909711) + (xy 104.031137 78.9465) + (xy 104.087526 78.988117) + (xy 104.212145 79.031723) + (xy 104.212151 79.031725) + (xy 104.241744 79.0345) + (xy 104.241751 79.0345) + (xy 104.847249 79.0345) + (xy 104.847256 79.0345) + (xy 104.876849 79.031725) + (xy 104.933532 79.01189) + (xy 105.001473 78.988117) + (xy 105.001473 78.988116) + (xy 105.001475 78.988116) + (xy 105.107711 78.909711) + (xy 105.186116 78.803475) + (xy 105.210406 78.734058) + (xy 105.242457 78.69488) + (xy 105.280253 78.6845) + (xy 105.371522 78.6845) + (xy 105.419088 78.701813) + (xy 105.423848 78.706174) + (xy 106.669826 79.952152) + (xy 106.691218 79.998028) + (xy 106.6915 80.004478) + (xy 106.6915 80.144522) + (xy 106.674187 80.192088) + (xy 106.63035 80.217398) + (xy 106.5805 80.208608) + (xy 106.565174 80.196848) + (xy 106.032357 79.664031) + (xy 106.030176 79.661651) + (xy 106.027363 79.658299) + (xy 106.003455 79.629806) + (xy 105.986088 79.619778) + (xy 105.967458 79.609022) + (xy 105.964736 79.607288) + (xy 105.930685 79.583446) + (xy 105.930682 79.583445) + (xy 105.926047 79.582203) + (xy 105.9082 79.574811) + (xy 105.904044 79.572411) + (xy 105.863114 79.565193) + (xy 105.859964 79.564495) + (xy 105.819806 79.553735) + (xy 105.819805 79.553735) + (xy 105.786818 79.556622) + (xy 105.778394 79.557359) + (xy 105.775174 79.5575) + (xy 105.280253 79.5575) + (xy 105.232687 79.540187) + (xy 105.210406 79.507941) + (xy 105.186116 79.438525) + (xy 105.107711 79.332289) + (xy 105.039571 79.282) + (xy 105.001473 79.253882) + (xy 104.876854 79.210276) + (xy 104.876855 79.210276) + (xy 104.87685 79.210275) + (xy 104.876849 79.210275) + (xy 104.847256 79.2075) + (xy 104.241744 79.2075) + (xy 104.212151 79.210275) + (xy 104.212149 79.210275) + (xy 104.212145 79.210276) + (xy 104.087526 79.253882) + (xy 103.98129 79.332288) + (xy 103.981288 79.33229) + (xy 103.902882 79.438526) + (xy 103.859276 79.563145) + (xy 103.859275 79.563149) + (xy 103.859275 79.563151) + (xy 103.8565 79.592744) + (xy 103.8565 80.173256) + (xy 103.859275 80.202849) + (xy 103.859275 80.202851) + (xy 103.859276 80.202854) + (xy 103.902882 80.327473) + (xy 103.934342 80.370099) + (xy 103.981289 80.433711) + (xy 104.036954 80.474793) + (xy 104.087526 80.512117) + (xy 104.212145 80.555723) + (xy 104.212151 80.555725) + (xy 104.241744 80.5585) + (xy 104.241751 80.5585) + (xy 104.847249 80.5585) + (xy 104.847256 80.5585) + (xy 104.876849 80.555725) + (xy 104.979956 80.519646) + (xy 105.001473 80.512117) + (xy 105.001473 80.512116) + (xy 105.001475 80.512116) + (xy 105.107711 80.433711) + (xy 105.186116 80.327475) + (xy 105.210406 80.258058) + (xy 105.242457 80.21888) + (xy 105.280253 80.2085) + (xy 105.625522 80.2085) + (xy 105.673088 80.225813) + (xy 105.677848 80.230174) + (xy 106.669826 81.222153) + (xy 106.691218 81.26803) + (xy 106.6915 81.274479) + (xy 106.6915 81.487519) + (xy 106.706353 81.581304) + (xy 106.706354 81.581306) + (xy 106.76395 81.694342) + (xy 106.823282 81.753674) + (xy 106.844674 81.79955) + (xy 106.831573 81.848445) + (xy 106.823282 81.858326) + (xy 106.815293 81.866315) + (xy 106.769417 81.887707) + (xy 106.720522 81.874606) + (xy 106.710641 81.866315) + (xy 106.159357 81.315031) + (xy 106.157176 81.312651) + (xy 106.154363 81.309299) + (xy 106.130455 81.280806) + (xy 106.108327 81.26803) + (xy 106.094458 81.260022) + (xy 106.091736 81.258288) + (xy 106.057685 81.234446) + (xy 106.057682 81.234445) + (xy 106.053047 81.233203) + (xy 106.0352 81.225811) + (xy 106.031044 81.223411) + (xy 105.990114 81.216193) + (xy 105.986964 81.215495) + (xy 105.946806 81.204735) + (xy 105.946805 81.204735) + (xy 105.913818 81.207622) + (xy 105.905394 81.208359) + (xy 105.902174 81.2085) + (xy 105.3065 81.2085) + (xy 105.258934 81.191187) + (xy 105.233624 81.14735) + (xy 105.2325 81.1345) + (xy 105.2325 81.116751) + (xy 105.2325 81.116744) + (xy 105.229725 81.087151) + (xy 105.226431 81.077736) + (xy 105.186117 80.962526) + (xy 105.142435 80.903339) + (xy 105.107711 80.856289) + (xy 105.046391 80.811033) + (xy 105.001473 80.777882) + (xy 104.876854 80.734276) + (xy 104.876855 80.734276) + (xy 104.87685 80.734275) + (xy 104.876849 80.734275) + (xy 104.847256 80.7315) + (xy 104.241744 80.7315) + (xy 104.212151 80.734275) + (xy 104.212149 80.734275) + (xy 104.212145 80.734276) + (xy 104.087526 80.777882) + (xy 103.98129 80.856288) + (xy 103.981288 80.85629) + (xy 103.902882 80.962526) + (xy 103.859276 81.087145) + (xy 103.859275 81.087149) + (xy 103.859275 81.087151) + (xy 103.8565 81.116744) + (xy 103.8565 81.697256) + (xy 103.859275 81.726849) + (xy 103.859275 81.726851) + (xy 103.859276 81.726854) + (xy 103.902882 81.851473) + (xy 103.943962 81.907134) + (xy 103.981289 81.957711) + (xy 104.059694 82.015576) + (xy 104.087526 82.036117) + (xy 104.212145 82.079723) + (xy 104.212151 82.079725) + (xy 104.241744 82.0825) + (xy 104.241751 82.0825) + (xy 104.847249 82.0825) + (xy 104.847256 82.0825) + (xy 104.876849 82.079725) + (xy 105.001475 82.036116) + (xy 105.107711 81.957711) + (xy 105.15801 81.889556) + (xy 105.200185 81.861566) + (xy 105.21755 81.8595) + (xy 105.752522 81.8595) + (xy 105.800088 81.876813) + (xy 105.804848 81.881174) + (xy 106.498647 82.574973) + (xy 106.500819 82.577343) + (xy 106.527545 82.609194) + (xy 106.563546 82.629979) + (xy 106.56627 82.631715) + (xy 106.600314 82.655553) + (xy 106.600316 82.655554) + (xy 106.604949 82.656795) + (xy 106.622795 82.664186) + (xy 106.626955 82.666588) + (xy 106.667886 82.673805) + (xy 106.671033 82.674502) + (xy 106.676091 82.675858) + (xy 106.717559 82.704884) + (xy 106.722882 82.713743) + (xy 106.763948 82.79434) + (xy 106.823282 82.853674) + (xy 106.844674 82.89955) + (xy 106.831573 82.948445) + (xy 106.823282 82.958326) + (xy 106.763951 83.017656) + (xy 106.727041 83.090096) + (xy 106.690021 83.124618) + (xy 106.661107 83.1305) + (xy 106.481478 83.1305) + (xy 106.433912 83.113187) + (xy 106.429152 83.108826) + (xy 106.159357 82.839031) + (xy 106.157176 82.836651) + (xy 106.154363 82.833299) + (xy 106.130455 82.804806) + (xy 106.112328 82.79434) + (xy 106.094458 82.784022) + (xy 106.091736 82.782288) + (xy 106.057685 82.758446) + (xy 106.057682 82.758445) + (xy 106.053047 82.757203) + (xy 106.0352 82.749811) + (xy 106.031044 82.747411) + (xy 105.990114 82.740193) + (xy 105.986964 82.739495) + (xy 105.946806 82.728735) + (xy 105.946805 82.728735) + (xy 105.913818 82.731622) + (xy 105.905394 82.732359) + (xy 105.902174 82.7325) + (xy 105.3065 82.7325) + (xy 105.258934 82.715187) + (xy 105.233624 82.67135) + (xy 105.2325 82.6585) + (xy 105.2325 82.640751) + (xy 105.2325 82.640744) + (xy 105.229725 82.611151) + (xy 105.22643 82.601735) + (xy 105.186117 82.486526) + (xy 105.165576 82.458695) + (xy 105.107711 82.380289) + (xy 105.057135 82.342962) + (xy 105.001473 82.301882) + (xy 104.876854 82.258276) + (xy 104.876855 82.258276) + (xy 104.87685 82.258275) + (xy 104.876849 82.258275) + (xy 104.847256 82.2555) + (xy 104.241744 82.2555) + (xy 104.212151 82.258275) + (xy 104.212149 82.258275) + (xy 104.212145 82.258276) + (xy 104.087526 82.301882) + (xy 103.98129 82.380288) + (xy 103.981288 82.38029) + (xy 103.902882 82.486526) + (xy 103.859276 82.611145) + (xy 103.859275 82.611149) + (xy 103.859275 82.611151) + (xy 103.8565 82.640744) + (xy 103.8565 83.221256) + (xy 103.859275 83.250849) + (xy 103.859275 83.250851) + (xy 103.859276 83.250854) + (xy 103.902882 83.375473) + (xy 103.943962 83.431134) + (xy 103.981289 83.481711) + (xy 104.059694 83.539576) + (xy 104.087526 83.560117) + (xy 104.212145 83.603723) + (xy 104.212151 83.603725) + (xy 104.241744 83.6065) + (xy 104.241751 83.6065) + (xy 104.847249 83.6065) + (xy 104.847256 83.6065) + (xy 104.876849 83.603725) + (xy 105.001475 83.560116) + (xy 105.107711 83.481711) + (xy 105.15801 83.413556) + (xy 105.200185 83.385566) + (xy 105.21755 83.3835) + (xy 105.752522 83.3835) + (xy 105.800088 83.400813) + (xy 105.804848 83.405174) + (xy 106.074647 83.674973) + (xy 106.076819 83.677343) + (xy 106.103545 83.709194) + (xy 106.139546 83.729979) + (xy 106.14227 83.731715) + (xy 106.176314 83.755553) + (xy 106.176316 83.755554) + (xy 106.180949 83.756795) + (xy 106.198795 83.764186) + (xy 106.202955 83.766588) + (xy 106.202958 83.766588) + (xy 106.202959 83.766589) + (xy 106.202958 83.766589) + (xy 106.243888 83.773806) + (xy 106.247038 83.774504) + (xy 106.287193 83.785264) + (xy 106.328605 83.78164) + (xy 106.331826 83.7815) + (xy 106.661107 83.7815) + (xy 106.708673 83.798813) + (xy 106.727041 83.821904) + (xy 106.763951 83.894343) + (xy 106.823282 83.953674) + (xy 106.844674 83.99955) + (xy 106.831573 84.048445) + (xy 106.823282 84.058326) + (xy 106.763951 84.117656) + (xy 106.706353 84.230698) + (xy 106.695444 84.299577) + (xy 106.670903 84.343849) + (xy 106.623646 84.361989) + (xy 106.622355 84.362) + (xy 105.901099 84.362) + (xy 105.853533 84.344687) + (xy 105.828223 84.30085) + (xy 105.827424 84.294924) + (xy 105.824646 84.265301) + (xy 105.824374 84.264525) + (xy 105.779793 84.137118) + (xy 105.779792 84.137116) + (xy 105.699153 84.027853) + (xy 105.699146 84.027846) + (xy 105.589883 83.947207) + (xy 105.589881 83.947206) + (xy 105.461704 83.902355) + (xy 105.461705 83.902355) + (xy 105.4617 83.902354) + (xy 105.461699 83.902354) + (xy 105.431266 83.8995) + (xy 104.372734 83.8995) + (xy 104.342301 83.902354) + (xy 104.342299 83.902354) + (xy 104.342295 83.902355) + (xy 104.214118 83.947206) + (xy 104.214116 83.947207) + (xy 104.104853 84.027846) + (xy 104.104846 84.027853) + (xy 104.024207 84.137116) + (xy 104.024206 84.137118) + (xy 103.979355 84.265295) + (xy 103.979354 84.265299) + (xy 103.979354 84.265301) + (xy 103.9765 84.295734) + (xy 103.9765 84.29574) + (xy 103.9765 84.3095) + (xy 103.959187 84.357066) + (xy 103.91535 84.382376) + (xy 103.9025 84.3835) + (xy 102.994253 84.3835) + (xy 102.946687 84.366187) + (xy 102.924406 84.333941) + (xy 102.921096 84.324481) + (xy 102.900116 84.264525) + (xy 102.821711 84.158289) + (xy 102.766655 84.117656) + (xy 102.715473 84.079882) + (xy 102.590854 84.036276) + (xy 102.590855 84.036276) + (xy 102.59085 84.036275) + (xy 102.590849 84.036275) + (xy 102.561256 84.0335) + (xy 101.880744 84.0335) + (xy 101.851151 84.036275) + (xy 101.851149 84.036275) + (xy 101.851145 84.036276) + (xy 101.726526 84.079882) + (xy 101.62029 84.158288) + (xy 101.620288 84.15829) + (xy 101.541882 84.264526) + (xy 101.498276 84.389145) + (xy 101.498275 84.389149) + (xy 101.498275 84.389151) + (xy 101.4955 84.418744) + (xy 101.4955 84.999256) + (xy 101.1965 84.999256) + (xy 101.1965 84.418744) + (xy 101.193725 84.389151) + (xy 101.191354 84.382376) + (xy 101.150117 84.264526) + (xy 101.125147 84.230693) + (xy 101.071711 84.158289) + (xy 101.016655 84.117656) + (xy 100.965473 84.079882) + (xy 100.840854 84.036276) + (xy 100.840855 84.036276) + (xy 100.84085 84.036275) + (xy 100.840849 84.036275) + (xy 100.811256 84.0335) + (xy 100.130744 84.0335) + (xy 100.101151 84.036275) + (xy 100.101149 84.036275) + (xy 100.101145 84.036276) + (xy 99.976526 84.079882) + (xy 99.87029 84.158288) + (xy 99.870288 84.15829) + (xy 99.791882 84.264526) + (xy 99.748276 84.389145) + (xy 99.748275 84.389149) + (xy 99.748275 84.389151) + (xy 99.7455 84.418744) + (xy 99.7455 84.999256) + (xy 94.27707 84.999256) + (xy 95.998152 83.278174) + (xy 96.044028 83.256782) + (xy 96.050478 83.2565) + (xy 101.983747 83.2565) + (xy 102.031313 83.273813) + (xy 102.053593 83.306058) + (xy 102.077884 83.375475) + (xy 102.156289 83.481711) + (xy 102.234694 83.539576) + (xy 102.262526 83.560117) + (xy 102.387145 83.603723) + (xy 102.387151 83.603725) + (xy 102.416744 83.6065) + (xy 102.416751 83.6065) + (xy 103.022249 83.6065) + (xy 103.022256 83.6065) + (xy 103.051849 83.603725) + (xy 103.108532 83.58389) + (xy 103.176473 83.560117) + (xy 103.176473 83.560116) + (xy 103.176475 83.560116) + (xy 103.282711 83.481711) + (xy 103.361116 83.375475) + (xy 103.404725 83.250849) + (xy 103.4075 83.221256) + (xy 103.4075 82.640744) + (xy 103.404725 82.611151) + (xy 103.40143 82.601735) + (xy 103.361117 82.486526) + (xy 103.340576 82.458695) + (xy 103.282711 82.380289) + (xy 103.232135 82.342962) + (xy 103.176473 82.301882) + (xy 103.051854 82.258276) + (xy 103.051855 82.258276) + (xy 103.05185 82.258275) + (xy 103.051849 82.258275) + (xy 103.022256 82.2555) + (xy 102.416744 82.2555) + (xy 102.387151 82.258275) + (xy 102.387149 82.258275) + (xy 102.387145 82.258276) + (xy 102.262526 82.301882) + (xy 102.15629 82.380288) + (xy 102.156288 82.38029) + (xy 102.077883 82.486526) + (xy 102.053594 82.555941) + (xy 102.021543 82.59512) + (xy 101.983747 82.6055) + (xy 95.900826 82.6055) + (xy 95.897605 82.605359) + (xy 95.88865 82.604575) + (xy 95.856194 82.601735) + (xy 95.856192 82.601735) + (xy 95.816033 82.612495) + (xy 95.812884 82.613193) + (xy 95.771952 82.620412) + (xy 95.771951 82.620412) + (xy 95.76779 82.622815) + (xy 95.749959 82.630201) + (xy 95.745317 82.631445) + (xy 95.745312 82.631447) + (xy 95.711268 82.655285) + (xy 95.708547 82.657019) + (xy 95.672547 82.677804) + (xy 95.672543 82.677808) + (xy 95.645827 82.709645) + (xy 95.643646 82.712026) + (xy 92.66103 85.694641) + (xy 92.65865 85.696822) + (xy 92.626808 85.723542) + (xy 92.626805 85.723545) + (xy 92.606019 85.759546) + (xy 92.604285 85.762268) + (xy 92.580447 85.796312) + (xy 92.580445 85.796317) + (xy 92.579201 85.800959) + (xy 92.571815 85.81879) + (xy 92.569412 85.822951) + (xy 92.569412 85.822952) + (xy 92.562193 85.863884) + (xy 92.561495 85.867033) + (xy 92.550735 85.907192) + (xy 92.550735 85.907196) + (xy 92.554358 85.948599) + (xy 92.554499 85.951825) + (xy 92.554499 141.2117) + (xy 92.537186 141.259266) + (xy 92.525548 141.270407) + (xy 92.482142 141.303714) + (xy 92.482134 141.303722) + (xy 92.47988 141.30666) + (xy 92.437185 141.333853) + (xy 92.387 141.32724) + (xy 92.352807 141.289916) + (xy 92.34781 141.27126) + (xy 92.347698 141.270407) + (xy 92.346243 141.259356) + (xy 92.285735 141.113277) + (xy 92.196632 140.997155) + (xy 92.189483 140.987838) + (xy 92.189478 140.987833) + (xy 92.11565 140.931183) + (xy 92.088452 140.888492) + (xy 92.086698 140.872475) + (xy 92.086698 113.3175) + (xy 92.086698 85.696276) + (xy 92.104011 85.648713) + (xy 92.108361 85.643964) + (xy 95.998152 81.754174) + (xy 96.044029 81.732782) + (xy 96.050479 81.7325) + (xy 101.983747 81.7325) + (xy 102.031313 81.749813) + (xy 102.053593 81.782058) + (xy 102.059714 81.79955) + (xy 102.076823 81.848445) + (xy 102.077884 81.851475) + (xy 102.156289 81.957711) + (xy 102.234694 82.015576) + (xy 102.262526 82.036117) + (xy 102.387145 82.079723) + (xy 102.387151 82.079725) + (xy 102.416744 82.0825) + (xy 102.416751 82.0825) + (xy 103.022249 82.0825) + (xy 103.022256 82.0825) + (xy 103.051849 82.079725) + (xy 103.108532 82.05989) + (xy 103.176473 82.036117) + (xy 103.176473 82.036116) + (xy 103.176475 82.036116) + (xy 103.282711 81.957711) + (xy 103.361116 81.851475) + (xy 103.362177 81.848445) + (xy 103.402649 81.732782) + (xy 103.404725 81.726849) + (xy 103.4075 81.697256) + (xy 103.4075 81.116744) + (xy 103.404725 81.087151) + (xy 103.401431 81.077736) + (xy 103.361117 80.962526) + (xy 103.317435 80.903339) + (xy 103.282711 80.856289) + (xy 103.221391 80.811033) + (xy 103.176473 80.777882) + (xy 103.051854 80.734276) + (xy 103.051855 80.734276) + (xy 103.05185 80.734275) + (xy 103.051849 80.734275) + (xy 103.022256 80.7315) + (xy 102.416744 80.7315) + (xy 102.387151 80.734275) + (xy 102.387149 80.734275) + (xy 102.387145 80.734276) + (xy 102.262526 80.777882) + (xy 102.15629 80.856288) + (xy 102.156288 80.85629) + (xy 102.077883 80.962526) + (xy 102.053594 81.031941) + (xy 102.021543 81.07112) + (xy 101.983747 81.0815) + (xy 95.900815 81.0815) + (xy 95.897594 81.081359) + (xy 95.888674 81.080578) + (xy 95.856194 81.077736) + (xy 95.816044 81.088494) + (xy 95.812894 81.089192) + (xy 95.771953 81.096412) + (xy 95.771951 81.096412) + (xy 95.76779 81.098815) + (xy 95.749959 81.106201) + (xy 95.745317 81.107445) + (xy 95.745312 81.107447) + (xy 95.711265 81.131287) + (xy 95.708544 81.133021) + (xy 95.672549 81.153803) + (xy 95.672543 81.153808) + (xy 95.645832 81.185639) + (xy 95.643651 81.18802) + (xy 91.542229 85.289442) + (xy 91.539849 85.291623) + (xy 91.508007 85.318343) + (xy 91.508004 85.318346) + (xy 91.487218 85.354347) + (xy 91.485484 85.357069) + (xy 91.461646 85.391113) + (xy 91.461644 85.391118) + (xy 91.4604 85.39576) + (xy 91.453014 85.413591) + (xy 91.450611 85.417752) + (xy 91.450611 85.417753) + (xy 91.443392 85.458685) + (xy 91.442694 85.461834) + (xy 91.431934 85.501993) + (xy 91.431934 85.501995) + (xy 91.435558 85.543399) + (xy 91.435699 85.546626) + (xy 91.435699 140.872475) + (xy 91.418386 140.920041) + (xy 91.406748 140.931183) + (xy 91.332914 140.987838) + (xy 91.332912 140.98784) + (xy 91.236663 141.113276) + (xy 91.176154 141.259356) + (xy 91.176154 141.259357) + (xy 91.155517 141.416118) + (xy 90.0005 141.416118) + (xy 90.0005 79.756) + (xy 100.740318 79.756) + (xy 100.760955 79.91276) + (xy 100.760955 79.912761) + (xy 100.821464 80.058841) + (xy 100.917715 80.184279) + (xy 100.91772 80.184284) + (xy 100.971842 80.225813) + (xy 101.043159 80.280536) + (xy 101.189238 80.341044) + (xy 101.346 80.361682) + (xy 101.502762 80.341044) + (xy 101.648841 80.280536) + (xy 101.722791 80.223791) + (xy 101.767839 80.2085) + (xy 101.983747 80.2085) + (xy 102.031313 80.225813) + (xy 102.053593 80.258058) + (xy 102.077884 80.327475) + (xy 102.156289 80.433711) + (xy 102.211954 80.474793) + (xy 102.262526 80.512117) + (xy 102.387145 80.555723) + (xy 102.387151 80.555725) + (xy 102.416744 80.5585) + (xy 102.416751 80.5585) + (xy 103.022249 80.5585) + (xy 103.022256 80.5585) + (xy 103.051849 80.555725) + (xy 103.154956 80.519646) + (xy 103.176473 80.512117) + (xy 103.176473 80.512116) + (xy 103.176475 80.512116) + (xy 103.282711 80.433711) + (xy 103.361116 80.327475) + (xy 103.404725 80.202849) + (xy 103.4075 80.173256) + (xy 103.4075 79.592744) + (xy 103.404725 79.563151) + (xy 103.402048 79.5555) + (xy 103.361117 79.438526) + (xy 103.340576 79.410695) + (xy 103.282711 79.332289) + (xy 103.214571 79.282) + (xy 103.176473 79.253882) + (xy 103.051854 79.210276) + (xy 103.051855 79.210276) + (xy 103.05185 79.210275) + (xy 103.051849 79.210275) + (xy 103.022256 79.2075) + (xy 102.416744 79.2075) + (xy 102.387151 79.210275) + (xy 102.387149 79.210275) + (xy 102.387145 79.210276) + (xy 102.262526 79.253882) + (xy 102.15629 79.332288) + (xy 102.156288 79.33229) + (xy 102.077883 79.438526) + (xy 102.053594 79.507941) + (xy 102.021543 79.54712) + (xy 101.983747 79.5575) + (xy 101.963201 79.5575) + (xy 101.915635 79.540187) + (xy 101.894834 79.511819) + (xy 101.88833 79.496117) + (xy 101.870536 79.453159) + (xy 101.838451 79.411345) + (xy 101.774284 79.32772) + (xy 101.774279 79.327715) + (xy 101.648841 79.231464) + (xy 101.502761 79.170955) + (xy 101.346 79.150318) + (xy 101.189239 79.170955) + (xy 101.189238 79.170955) + (xy 101.043158 79.231464) + (xy 100.91772 79.327715) + (xy 100.917715 79.32772) + (xy 100.821464 79.453158) + (xy 100.760955 79.599238) + (xy 100.760955 79.599239) + (xy 100.740318 79.756) + (xy 90.0005 79.756) + (xy 90.0005 78.232) + (xy 100.740318 78.232) + (xy 100.760955 78.38876) + (xy 100.760955 78.388761) + (xy 100.821464 78.534841) + (xy 100.917715 78.660279) + (xy 100.91772 78.660284) + (xy 100.954225 78.688295) + (xy 101.043159 78.756536) + (xy 101.189238 78.817044) + (xy 101.346 78.837682) + (xy 101.502762 78.817044) + (xy 101.648841 78.756536) + (xy 101.774282 78.660282) + (xy 101.806994 78.617651) + (xy 101.830934 78.586452) + (xy 101.873625 78.559254) + (xy 101.889642 78.5575) + (xy 101.9575 78.5575) + (xy 102.005066 78.574813) + (xy 102.030376 78.61865) + (xy 102.0315 78.6315) + (xy 102.0315 78.649256) + (xy 102.034275 78.678849) + (xy 102.034275 78.678851) + (xy 102.034276 78.678854) + (xy 102.077882 78.803473) + (xy 102.118962 78.859134) + (xy 102.156289 78.909711) + (xy 102.206137 78.9465) + (xy 102.262526 78.988117) + (xy 102.387145 79.031723) + (xy 102.387151 79.031725) + (xy 102.416744 79.0345) + (xy 102.416751 79.0345) + (xy 103.022249 79.0345) + (xy 103.022256 79.0345) + (xy 103.051849 79.031725) + (xy 103.108532 79.01189) + (xy 103.176473 78.988117) + (xy 103.176473 78.988116) + (xy 103.176475 78.988116) + (xy 103.282711 78.909711) + (xy 103.361116 78.803475) + (xy 103.404725 78.678849) + (xy 103.4075 78.649256) + (xy 103.4075 78.068744) + (xy 103.404725 78.039151) + (xy 103.40143 78.029735) + (xy 103.361117 77.914526) + (xy 103.30625 77.840184) + (xy 103.282711 77.808289) + (xy 103.232135 77.770962) + (xy 103.176473 77.729882) + (xy 103.051854 77.686276) + (xy 103.051855 77.686276) + (xy 103.05185 77.686275) + (xy 103.051849 77.686275) + (xy 103.022256 77.6835) + (xy 102.416744 77.6835) + (xy 102.387151 77.686275) + (xy 102.387149 77.686275) + (xy 102.387145 77.686276) + (xy 102.262525 77.729883) + (xy 102.262523 77.729884) + (xy 102.156293 77.808284) + (xy 102.156289 77.808288) + (xy 102.156289 77.808289) + (xy 102.15241 77.813545) + (xy 102.10599 77.876443) + (xy 102.063815 77.904434) + (xy 102.04645 77.9065) + (xy 101.889642 77.9065) + (xy 101.842076 77.889187) + (xy 101.830934 77.877548) + (xy 101.774284 77.80372) + (xy 101.774279 77.803715) + (xy 101.648841 77.707464) + (xy 101.502761 77.646955) + (xy 101.346 77.626318) + (xy 101.189239 77.646955) + (xy 101.189238 77.646955) + (xy 101.043158 77.707464) + (xy 100.91772 77.803715) + (xy 100.917715 77.80372) + (xy 100.821464 77.929158) + (xy 100.760955 78.075238) + (xy 100.760955 78.075239) + (xy 100.740318 78.232) + (xy 90.0005 78.232) + (xy 90.0005 77.0745) + (xy 90.017813 77.026934) + (xy 90.06165 77.001624) + (xy 90.0745 77.0005) + (xy 90.282837 77.0005) + (xy 90.282852 77.0005) + (xy 90.605718 76.980186) + (xy 90.606808 76.980135) + (xy 90.633643 76.979257) + (xy 90.64295 76.977844) + (xy 90.84744 76.964979) + (xy 91.196772 76.920847) + (xy 91.197782 76.920734) + (xy 91.212456 76.919288) + (xy 91.217081 76.918282) + (xy 91.408683 76.894077) + (xy 91.964367 76.788075) + (xy 92.512299 76.64739) + (xy 93.050316 76.472578) + (xy 93.37453 76.344211) + (xy 93.576265 76.26434) + (xy 93.576274 76.264335) + (xy 93.576294 76.264328) + (xy 94.088159 76.023463) + (xy 94.583889 75.750933) + (xy 95.061529 75.447813) + (xy 95.290707 75.281306) + (xy 95.519186 75.115306) + (xy 95.519185 75.115306) + (xy 95.519193 75.115301) + (xy 95.955076 74.754707) + (xy 96.367456 74.367456) + (xy 96.754707 73.955076) + (xy 97.115301 73.519193) + (xy 97.306885 73.2555) + (xy 97.447801 73.061546) + (xy 97.447812 73.06153) + (xy 97.481982 73.007687) + (xy 97.662911 72.722589) + (xy 97.703015 72.691705) + (xy 97.753589 72.693825) + (xy 97.790969 72.727957) + (xy 97.798479 72.750665) + (xy 97.819836 72.885504) + (xy 97.917498 73.249987) + (xy 98.05272 73.602254) + (xy 98.224029 73.938468) + (xy 98.429543 74.254932) + (xy 98.659836 74.539319) + (xy 99.737685 73.461471) + (xy 99.783561 73.440079) + (xy 99.832456 73.45318) + (xy 99.842337 73.461471) + (xy 100.020528 73.639662) + (xy 100.04192 73.685538) + (xy 100.028819 73.734433) + (xy 100.020528 73.744314) + (xy 98.942679 74.822162) + (xy 98.942679 74.822163) + (xy 99.227067 75.052456) + (xy 99.543531 75.25797) + (xy 99.879745 75.429279) + (xy 100.232012 75.564501) + (xy 100.596495 75.662163) + (xy 100.969176 75.721191) + (xy 101.346 75.740939) + (xy 101.722822 75.721191) + (xy 101.722823 75.721191) + (xy 102.095504 75.662163) + (xy 102.459987 75.564501) + (xy 102.812254 75.429279) + (xy 103.148468 75.25797) + (xy 103.464932 75.052456) + (xy 103.749319 74.822162) + (xy 102.671471 73.744314) + (xy 102.650079 73.698438) + (xy 102.66318 73.649543) + (xy 102.671465 73.639668) + (xy 102.849663 73.46147) + (xy 102.895538 73.440079) + (xy 102.944433 73.45318) + (xy 102.954314 73.461471) + (xy 104.032162 74.539319) + (xy 104.262456 74.254932) + (xy 104.46797 73.938468) + (xy 104.639279 73.602254) + (xy 104.774501 73.249987) + (xy 104.872163 72.885504) + (xy 104.924352 72.556) + (xy 106.492 72.556) + (xy 107.592 72.556) + (xy 107.592 71.956) + (xy 107.052678 71.956) + (xy 106.935371 71.971443) + (xy 106.935367 71.971444) + (xy 106.789412 72.0319) + (xy 106.664075 72.128075) + (xy 106.5679 72.253412) + (xy 106.507443 72.399369) + (xy 106.492 72.516678) + (xy 106.492 72.556) + (xy 104.924352 72.556) + (xy 104.931191 72.512823) + (xy 104.931191 72.512822) + (xy 104.950939 72.136) + (xy 104.931191 71.759177) + (xy 104.931191 71.759176) + (xy 104.872163 71.386495) + (xy 104.774501 71.022012) + (xy 104.639279 70.669745) + (xy 104.46797 70.333531) + (xy 104.262456 70.017067) + (xy 104.032162 69.732679) + (xy 102.954314 70.810528) + (xy 102.908438 70.83192) + (xy 102.859543 70.818819) + (xy 102.849662 70.810528) + (xy 102.671471 70.632337) + (xy 102.650079 70.586461) + (xy 102.66318 70.537566) + (xy 102.671471 70.527685) + (xy 103.749319 69.449836) + (xy 103.749319 69.449835) + (xy 103.464932 69.219543) + (xy 103.148468 69.014029) + (xy 102.812254 68.84272) + (xy 102.459987 68.707498) + (xy 102.095504 68.609836) + (xy 101.722823 68.550808) + (xy 101.345999 68.53106) + (xy 100.969177 68.550808) + (xy 100.969176 68.550808) + (xy 100.596495 68.609836) + (xy 100.232012 68.707498) + (xy 99.879745 68.84272) + (xy 99.543531 69.014029) + (xy 99.227067 69.219543) + (xy 99.025805 69.382522) + (xy 98.977943 69.399002) + (xy 98.930686 69.380861) + (xy 98.906146 69.336589) + (xy 98.905818 69.315743) + (xy 98.918281 69.217087) + (xy 98.918963 69.21576) + (xy 98.920734 69.197782) + (xy 98.920848 69.19677) + (xy 98.964977 68.847454) + (xy 98.964979 68.84744) + (xy 98.977843 68.642957) + (xy 98.979042 68.640215) + (xy 98.980135 68.606808) + (xy 98.980188 68.605695) + (xy 98.983642 68.550808) + (xy 99.0005 68.282852) + (xy 99.0005 68.0745) + (xy 99.017813 68.026934) + (xy 99.06165 68.001624) + (xy 99.0745 68.0005) + (xy 133.096 68.0005) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 130.690903 148.694352) + (xy 130.727085 148.729752) + (xy 130.731841 148.742601) + (xy 130.732955 148.74676) + (xy 130.732956 148.746762) + (xy 130.766916 148.828748) + (xy 130.793464 148.892841) + (xy 130.889715 149.018279) + (xy 130.88972 149.018284) + (xy 130.972971 149.082164) + (xy 131.015159 149.114536) + (xy 131.161238 149.175044) + (xy 131.318 149.195682) + (xy 131.410264 149.183534) + (xy 131.459682 149.19449) + (xy 131.472249 149.204575) + (xy 138.696652 156.428979) + (xy 138.698824 156.431349) + (xy 138.725545 156.463194) + (xy 138.761548 156.48398) + (xy 138.764272 156.485716) + (xy 138.798314 156.509553) + (xy 138.798315 156.509553) + (xy 138.798316 156.509554) + (xy 138.802945 156.510794) + (xy 138.8208 156.518189) + (xy 138.824955 156.520588) + (xy 138.865915 156.527809) + (xy 138.869028 156.5285) + (xy 138.909193 156.539263) + (xy 138.950598 156.53564) + (xy 138.953817 156.5355) + (xy 141.732 156.5355) + (xy 141.732 160.590673) + (xy 137.707717 156.566391) + (xy 130.515392 149.374066) + (xy 130.494 149.32819) + (xy 130.502456 149.286856) + (xy 130.509833 149.273054) + (xy 130.515232 149.262954) + (xy 130.5753 149.064934) + (xy 130.595583 148.859) + (xy 130.586719 148.769008) + (xy 130.599286 148.719975) + (xy 130.640432 148.690491) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 113.821737 144.840813) + (xy 113.829171 144.848) + (xy 114.266966 144.848) + (xy 114.270592 144.848178) + (xy 114.273862 144.848499) + (xy 114.273867 144.8485) + (xy 115.0395 144.848499) + (xy 115.087065 144.865812) + (xy 115.112375 144.909649) + (xy 115.113499 144.922499) + (xy 115.113499 145.0735) + (xy 115.096186 145.121066) + (xy 115.052349 145.146376) + (xy 115.039499 145.1475) + (xy 114.273863 145.1475) + (xy 114.270603 145.147822) + (xy 114.266977 145.148) + (xy 113.829179 145.148) + (xy 113.832082 145.175009) + (xy 113.879813 145.302982) + (xy 113.879814 145.302983) + (xy 113.961671 145.41233) + (xy 113.995846 145.437913) + (xy 114.02355 145.480278) + (xy 114.0255 145.497153) + (xy 114.0255 145.60013) + (xy 114.041485 145.680495) + (xy 114.041485 145.680496) + (xy 114.102376 145.771624) + (xy 114.103636 145.772466) + (xy 114.104787 145.774035) + (xy 114.107532 145.776781) + (xy 114.107109 145.777203) + (xy 114.133568 145.813287) + (xy 114.130257 145.863798) + (xy 114.11485 145.886321) + (xy 113.795348 146.205826) + (xy 113.749472 146.227218) + (xy 113.743022 146.2275) + (xy 113.247744 146.2275) + (xy 113.218151 146.230275) + (xy 113.218149 146.230275) + (xy 113.218145 146.230276) + (xy 113.093526 146.273882) + (xy 112.98729 146.352288) + (xy 112.987288 146.35229) + (xy 112.908882 146.458526) + (xy 112.865276 146.583145) + (xy 112.865275 146.583149) + (xy 112.865275 146.583151) + (xy 112.8625 146.612744) + (xy 112.8625 147.318256) + (xy 112.865275 147.347849) + (xy 112.865275 147.347851) + (xy 112.865276 147.347854) + (xy 112.908882 147.472473) + (xy 112.911007 147.475352) + (xy 112.987289 147.578711) + (xy 113.045367 147.621574) + (xy 113.097987 147.660409) + (xy 113.096523 147.662392) + (xy 113.124085 147.692837) + (xy 113.125989 147.743421) + (xy 113.094933 147.783393) + (xy 113.076197 147.791805) + (xy 113.045305 147.80078) + (xy 112.906665 147.882772) + (xy 112.792772 147.996665) + (xy 112.710781 148.135304) + (xy 112.665844 148.289977) + (xy 112.663 148.326117) + (xy 112.663 148.4905) + (xy 114.412999 148.4905) + (xy 114.412999 148.440133) + (xy 114.430312 148.392567) + (xy 114.474149 148.367257) + (xy 114.477323 148.366768) + (xy 114.503072 148.363379) + (xy 114.649151 148.302871) + (xy 114.774592 148.206617) + (xy 114.870846 148.081176) + (xy 114.931354 147.935097) + (xy 114.951992 147.778335) + (xy 114.931354 147.621573) + (xy 114.870846 147.475494) + (xy 114.832837 147.425959) + (xy 114.774594 147.350055) + (xy 114.774589 147.35005) + (xy 114.649151 147.253799) + (xy 114.503071 147.19329) + (xy 114.366947 147.175369) + (xy 114.34631 147.172653) + (xy 114.346309 147.172653) + (xy 114.297158 147.179123) + (xy 114.247739 147.168166) + (xy 114.216925 147.128007) + (xy 114.2135 147.105756) + (xy 114.2135 146.738978) + (xy 114.230813 146.691412) + (xy 114.235174 146.686652) + (xy 115.051653 145.870173) + (xy 115.097529 145.848781) + (xy 115.103979 145.848499) + (xy 115.653131 145.848499) + (xy 115.653132 145.848499) + (xy 115.733495 145.832515) + (xy 115.824624 145.771624) + (xy 115.83198 145.760613) + (xy 115.872799 145.730681) + (xy 115.92331 145.73399) + (xy 115.938558 145.743016) + (xy 115.981905 145.776277) + (xy 116.029159 145.812536) + (xy 116.10715 145.844841) + (xy 116.165262 145.868912) + (xy 116.202582 145.90311) + (xy 116.209189 145.953296) + (xy 116.181991 145.995987) + (xy 116.178056 145.998807) + (xy 116.102377 146.049375) + (xy 116.102375 146.049377) + (xy 116.041485 146.140504) + (xy 116.041484 146.140505) + (xy 116.041485 146.140505) + (xy 116.026152 146.217592) + (xy 116.0255 146.220868) + (xy 116.0255 146.817174) + (xy 116.022348 146.835049) + (xy 116.022535 146.835082) + (xy 116.014193 146.882384) + (xy 116.013495 146.885534) + (xy 116.002735 146.925693) + (xy 116.002735 146.925694) + (xy 116.00466 146.947684) + (xy 116.006284 146.966249) + (xy 116.006359 146.967098) + (xy 116.0065 146.970325) + (xy 116.0065 147.928834) + (xy 115.989187 147.9764) + (xy 115.94535 148.00171) + (xy 115.942161 148.002201) + (xy 115.921238 148.004956) + (xy 115.775158 148.065464) + (xy 115.64972 148.161715) + (xy 115.649715 148.16172) + (xy 115.553464 148.287158) + (xy 115.492955 148.433238) + (xy 115.492955 148.433239) + (xy 115.472318 148.59) + (xy 115.492955 148.74676) + (xy 115.492955 148.746761) + (xy 115.553464 148.892841) + (xy 115.649715 149.018279) + (xy 115.64972 149.018284) + (xy 115.732971 149.082164) + (xy 115.775159 149.114536) + (xy 115.921238 149.175044) + (xy 116.078 149.195682) + (xy 116.234762 149.175044) + (xy 116.380841 149.114536) + (xy 116.380841 149.114535) + (xy 116.380843 149.114535) + (xy 116.385044 149.11211) + (xy 116.386047 149.113847) + (xy 116.426994 149.100919) + (xy 116.473768 149.120272) + (xy 116.497159 149.165162) + (xy 116.497163 149.165191) + (xy 116.508955 149.25476) + (xy 116.508955 149.254761) + (xy 116.569464 149.400841) + (xy 116.665715 149.526279) + (xy 116.66572 149.526284) + (xy 116.708357 149.559) + (xy 116.791159 149.622536) + (xy 116.89279 149.664633) + (xy 116.93011 149.698831) + (xy 116.936717 149.749017) + (xy 116.909519 149.791708) + (xy 116.89279 149.801367) + (xy 116.791158 149.843464) + (xy 116.66572 149.939715) + (xy 116.665715 149.93972) + (xy 116.569464 150.065158) + (xy 116.508955 150.211238) + (xy 116.508955 150.211239) + (xy 116.488318 150.368) + (xy 116.508955 150.52476) + (xy 116.508955 150.524761) + (xy 116.569464 150.670841) + (xy 116.665715 150.796279) + (xy 116.66572 150.796284) + (xy 116.726812 150.843161) + (xy 116.791159 150.892536) + (xy 116.937238 150.953044) + (xy 117.094 150.973682) + (xy 117.250762 150.953044) + (xy 117.258021 150.950036) + (xy 117.308591 150.947826) + (xy 117.348751 150.978638) + (xy 117.359711 151.028056) + (xy 117.345053 151.063448) + (xy 117.331463 151.081159) + (xy 117.270955 151.227238) + (xy 117.270955 151.227239) + (xy 117.250318 151.384) + (xy 117.270955 151.54076) + (xy 117.270955 151.540761) + (xy 117.331464 151.686841) + (xy 117.427715 151.812279) + (xy 117.42772 151.812284) + (xy 117.511345 151.876451) + (xy 117.553159 151.908536) + (xy 117.699238 151.969044) + (xy 117.856 151.989682) + (xy 118.012762 151.969044) + (xy 118.158841 151.908536) + (xy 118.284282 151.812282) + (xy 118.380536 151.686841) + (xy 118.441044 151.540762) + (xy 118.461682 151.384) + (xy 118.449534 151.291733) + (xy 118.46049 151.242315) + (xy 118.47057 151.229754) + (xy 119.424173 150.27615) + (xy 119.470051 150.254759) + (xy 119.518945 150.26786) + (xy 119.547979 150.309324) + (xy 119.5505 150.328477) + (xy 119.5505 153.03132) + (xy 119.533187 153.078886) + (xy 119.528826 153.083646) + (xy 117.296145 155.316326) + (xy 117.250269 155.337718) + (xy 117.201374 155.324617) + (xy 117.175452 155.292318) + (xy 117.117289 155.1519) + (xy 117.021037 155.026461) + (xy 117.021032 155.026456) + (xy 116.895594 154.930205) + (xy 116.749514 154.869696) + (xy 116.601784 154.850248) + (xy 116.592753 154.849059) + (xy 116.592752 154.849059) + (xy 116.500486 154.861205) + (xy 116.451067 154.850248) + (xy 116.438502 154.840164) + (xy 112.344174 150.745835) + (xy 112.322782 150.699959) + (xy 112.3225 150.693509) + (xy 112.3225 148.8905) + (xy 112.663001 148.8905) + (xy 112.663001 149.054881) + (xy 112.665844 149.091022) + (xy 112.710781 149.245695) + (xy 112.792772 149.384334) + (xy 112.906665 149.498227) + (xy 113.045304 149.580218) + (xy 113.199979 149.625155) + (xy 113.199976 149.625155) + (xy 113.236117 149.627999) + (xy 113.338 149.627999) + (xy 113.338 148.8905) + (xy 113.738 148.8905) + (xy 113.738 149.627999) + (xy 113.839882 149.627999) + (xy 113.876022 149.625155) + (xy 114.030695 149.580218) + (xy 114.169334 149.498227) + (xy 114.283227 149.384334) + (xy 114.365218 149.245695) + (xy 114.410155 149.091022) + (xy 114.413 149.054882) + (xy 114.413 148.8905) + (xy 113.738 148.8905) + (xy 113.338 148.8905) + (xy 112.663001 148.8905) + (xy 112.3225 148.8905) + (xy 112.3225 145.943247) + (xy 112.339813 145.895681) + (xy 112.38365 145.870371) + (xy 112.424816 145.874879) + (xy 112.56522 145.933037) + (xy 112.721982 145.953675) + (xy 112.878744 145.933037) + (xy 113.024823 145.872529) + (xy 113.150264 145.776275) + (xy 113.246518 145.650834) + (xy 113.307026 145.504755) + (xy 113.327664 145.347993) + (xy 113.315516 145.255726) + (xy 113.326472 145.206308) + (xy 113.336552 145.193747) + (xy 113.685126 144.845174) + (xy 113.731004 144.823782) + (xy 113.737453 144.8235) + (xy 113.774171 144.8235) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 138.365066 102.887313) + (xy 138.390376 102.93115) + (xy 138.3915 102.943999) + (xy 138.3915 103.057766) + (xy 138.394354 103.088199) + (xy 138.394354 103.088201) + (xy 138.394355 103.088204) + (xy 138.439206 103.216381) + (xy 138.439207 103.216383) + (xy 138.519846 103.325646) + (xy 138.519853 103.325653) + (xy 138.629116 103.406292) + (xy 138.629118 103.406293) + (xy 138.757295 103.451144) + (xy 138.757301 103.451146) + (xy 138.787734 103.454) + (xy 138.7925 103.454) + (xy 138.840066 103.471313) + (xy 138.865376 103.51515) + (xy 138.8665 103.528) + (xy 138.8665 103.743) + (xy 138.849187 103.790566) + (xy 138.80535 103.815876) + (xy 138.7925 103.817) + (xy 138.662734 103.817) + (xy 138.632301 103.819854) + (xy 138.632299 103.819854) + (xy 138.632295 103.819855) + (xy 138.504118 103.864706) + (xy 138.504116 103.864707) + (xy 138.394853 103.945346) + (xy 138.394846 103.945353) + (xy 138.314207 104.054616) + (xy 138.314206 104.054618) + (xy 138.269355 104.182795) + (xy 138.269354 104.182799) + (xy 138.269354 104.182801) + (xy 138.2665 104.213234) + (xy 138.2665 104.996766) + (xy 138.269354 105.027199) + (xy 138.269354 105.027201) + (xy 138.269355 105.027204) + (xy 138.314206 105.155381) + (xy 138.314207 105.155383) + (xy 138.394846 105.264646) + (xy 138.394853 105.264653) + (xy 138.504116 105.345292) + (xy 138.504118 105.345293) + (xy 138.632295 105.390144) + (xy 138.632301 105.390146) + (xy 138.662734 105.393) + (xy 138.662741 105.393) + (xy 139.721258 105.393) + (xy 139.721266 105.393) + (xy 139.751699 105.390146) + (xy 139.879882 105.345293) + (xy 139.98915 105.26465) + (xy 140.069793 105.155382) + (xy 140.114646 105.027199) + (xy 140.1175 104.996766) + (xy 140.1175 104.213234) + (xy 140.114646 104.182801) + (xy 140.069793 104.054618) + (xy 140.069792 104.054616) + (xy 139.989153 103.945353) + (xy 139.989146 103.945346) + (xy 139.879883 103.864707) + (xy 139.879881 103.864706) + (xy 139.751704 103.819855) + (xy 139.751705 103.819855) + (xy 139.7517 103.819854) + (xy 139.751699 103.819854) + (xy 139.721266 103.817) + (xy 139.72126 103.817) + (xy 139.5915 103.817) + (xy 139.543934 103.799687) + (xy 139.518624 103.75585) + (xy 139.5175 103.743) + (xy 139.5175 103.528) + (xy 139.534813 103.480434) + (xy 139.57865 103.455124) + (xy 139.5915 103.454) + (xy 139.596258 103.454) + (xy 139.596266 103.454) + (xy 139.626699 103.451146) + (xy 139.626702 103.451144) + (xy 139.62881 103.450947) + (xy 139.642223 103.4495) + (xy 139.788522 103.4495) + (xy 139.836088 103.466813) + (xy 139.840847 103.471174) + (xy 141.732 105.362325) + (xy 141.732 114.575526) + (xy 141.715226 114.547164) + (xy 141.601334 114.433272) + (xy 141.462695 114.351281) + (xy 141.30802 114.306344) + (xy 141.308023 114.306344) + (xy 141.271882 114.3035) + (xy 141.17 114.3035) + (xy 141.17 116.078499) + (xy 141.271882 116.078499) + (xy 141.308022 116.075655) + (xy 141.462695 116.030718) + (xy 141.601334 115.948727) + (xy 141.715227 115.834834) + (xy 141.732 115.806473) + (xy 141.732 117.014672) + (xy 138.97303 119.773641) + (xy 138.97065 119.775822) + (xy 138.938808 119.802542) + (xy 138.938805 119.802545) + (xy 138.918019 119.838546) + (xy 138.916285 119.841268) + (xy 138.892447 119.875312) + (xy 138.892445 119.875317) + (xy 138.891201 119.879959) + (xy 138.883815 119.89779) + (xy 138.881412 119.901951) + (xy 138.881412 119.901952) + (xy 138.874193 119.942884) + (xy 138.873495 119.946033) + (xy 138.862735 119.986192) + (xy 138.862735 119.986194) + (xy 138.866359 120.027598) + (xy 138.8665 120.030825) + (xy 138.8665 135.112173) + (xy 138.866359 135.1154) + (xy 138.862735 135.156805) + (xy 138.862735 135.156806) + (xy 138.873495 135.196964) + (xy 138.874193 135.200114) + (xy 138.881411 135.241044) + (xy 138.883811 135.2452) + (xy 138.891203 135.263047) + (xy 138.892445 135.267682) + (xy 138.892446 135.267685) + (xy 138.916288 135.301736) + (xy 138.918022 135.304458) + (xy 138.926812 135.319681) + (xy 138.938806 135.340455) + (xy 138.938808 135.340457) + (xy 138.938807 135.340457) + (xy 138.955938 135.35483) + (xy 138.970658 135.367182) + (xy 138.973027 135.369353) + (xy 141.732 138.128326) + (xy 141.732 149.969079) + (xy 134.946174 143.183253) + (xy 134.924782 143.137377) + (xy 134.9245 143.130927) + (xy 134.9245 115.516) + (xy 138.067 115.516) + (xy 138.067 115.719141) + (xy 138.0699 115.755993) + (xy 138.115718 115.913699) + (xy 138.199317 116.055056) + (xy 138.315443 116.171182) + (xy 138.4568 116.254781) + (xy 138.614508 116.300599) + (xy 138.614505 116.300599) + (xy 138.651359 116.3035) + (xy 138.992 116.3035) + (xy 139.392 116.3035) + (xy 139.732641 116.3035) + (xy 139.769493 116.300599) + (xy 139.927199 116.254781) + (xy 140.068556 116.171182) + (xy 140.184682 116.055056) + (xy 140.233502 115.972506) + (xy 140.272617 115.940377) + (xy 140.323233 115.940906) + (xy 140.334866 115.94648) + (xy 140.477304 116.030718) + (xy 140.631979 116.075655) + (xy 140.631976 116.075655) + (xy 140.668117 116.078499) + (xy 140.77 116.078499) + (xy 140.77 115.391) + (xy 140.357 115.391) + (xy 140.357 115.442) + (xy 140.339687 115.489566) + (xy 140.29585 115.514876) + (xy 140.283 115.516) + (xy 139.392 115.516) + (xy 139.392 116.3035) + (xy 138.992 116.3035) + (xy 138.992 115.516) + (xy 138.067 115.516) + (xy 134.9245 115.516) + (xy 134.9245 115.116) + (xy 138.067 115.116) + (xy 138.992 115.116) + (xy 139.392 115.116) + (xy 140.055 115.116) + (xy 140.055 115.065) + (xy 140.072313 115.017434) + (xy 140.11615 114.992124) + (xy 140.129 114.991) + (xy 140.77 114.991) + (xy 140.77 114.3035) + (xy 140.668118 114.3035) + (xy 140.631977 114.306344) + (xy 140.477304 114.351281) + (xy 140.338665 114.433272) + (xy 140.242164 114.529773) + (xy 140.196287 114.551165) + (xy 140.147393 114.538064) + (xy 140.137512 114.529773) + (xy 140.068556 114.460817) + (xy 139.927199 114.377218) + (xy 139.769491 114.3314) + (xy 139.769494 114.3314) + (xy 139.732641 114.3285) + (xy 139.392 114.3285) + (xy 139.392 115.116) + (xy 138.992 115.116) + (xy 138.992 114.3285) + (xy 138.651359 114.3285) + (xy 138.614506 114.3314) + (xy 138.4568 114.377218) + (xy 138.315443 114.460817) + (xy 138.199317 114.576943) + (xy 138.115718 114.7183) + (xy 138.0699 114.876006) + (xy 138.067 114.912858) + (xy 138.067 115.116) + (xy 134.9245 115.116) + (xy 134.9245 111.916134) + (xy 134.924499 111.916108) + (xy 134.924499 110.97914) + (xy 134.909044 110.861738) + (xy 134.902657 110.846319) + (xy 134.900449 110.795748) + (xy 134.931263 110.755589) + (xy 134.971024 110.744) + (xy 135.230745 110.744) + (xy 135.278311 110.761313) + (xy 135.303621 110.80515) + (xy 135.294831 110.855) + (xy 135.283071 110.870326) + (xy 135.279447 110.873949) + (xy 135.235133 110.940267) + (xy 135.2235 110.998753) + (xy 135.2235 112.938246) + (xy 135.235133 112.996732) + (xy 135.264379 113.0405) + (xy 135.279448 113.063052) + (xy 135.32356 113.092527) + (xy 135.345767 113.107366) + (xy 135.345768 113.107366) + (xy 135.345769 113.107367) + (xy 135.404252 113.119) + (xy 135.404254 113.119) + (xy 136.243746 113.119) + (xy 136.243748 113.119) + (xy 136.302231 113.107367) + (xy 136.368552 113.063052) + (xy 136.412867 112.996731) + (xy 136.4245 112.938248) + (xy 136.4245 111.693766) + (xy 138.1375 111.693766) + (xy 138.140354 111.724199) + (xy 138.140354 111.724201) + (xy 138.140355 111.724204) + (xy 138.185206 111.852381) + (xy 138.185207 111.852383) + (xy 138.265846 111.961646) + (xy 138.265853 111.961653) + (xy 138.375116 112.042292) + (xy 138.375118 112.042293) + (xy 138.503295 112.087144) + (xy 138.503301 112.087146) + (xy 138.533734 112.09) + (xy 138.5385 112.09) + (xy 138.586066 112.107313) + (xy 138.611376 112.15115) + (xy 138.6125 112.164) + (xy 138.6125 112.410276) + (xy 138.595187 112.457842) + (xy 138.562941 112.480123) + (xy 138.504118 112.500706) + (xy 138.504116 112.500707) + (xy 138.394853 112.581346) + (xy 138.394846 112.581353) + (xy 138.314207 112.690616) + (xy 138.314206 112.690618) + (xy 138.269355 112.818795) + (xy 138.269354 112.818799) + (xy 138.269354 112.818801) + (xy 138.2665 112.849234) + (xy 138.2665 113.632766) + (xy 138.269354 113.663199) + (xy 138.269354 113.663201) + (xy 138.269355 113.663204) + (xy 138.314206 113.791381) + (xy 138.314207 113.791383) + (xy 138.394846 113.900646) + (xy 138.394853 113.900653) + (xy 138.504116 113.981292) + (xy 138.504118 113.981293) + (xy 138.632295 114.026144) + (xy 138.632301 114.026146) + (xy 138.662734 114.029) + (xy 138.662741 114.029) + (xy 139.721258 114.029) + (xy 139.721266 114.029) + (xy 139.751699 114.026146) + (xy 139.879882 113.981293) + (xy 139.98915 113.90065) + (xy 140.069793 113.791382) + (xy 140.069794 113.79138) + (xy 140.087402 113.74106) + (xy 140.119453 113.70188) + (xy 140.157249 113.6915) + (xy 140.242373 113.6915) + (xy 140.289939 113.708813) + (xy 140.31222 113.741059) + (xy 140.329828 113.791381) + (xy 140.340884 113.822975) + (xy 140.419289 113.929211) + (xy 140.489857 113.981292) + (xy 140.525526 114.007617) + (xy 140.650145 114.051223) + (xy 140.650151 114.051225) + (xy 140.679744 114.054) + (xy 140.679751 114.054) + (xy 141.260249 114.054) + (xy 141.260256 114.054) + (xy 141.289849 114.051225) + (xy 141.361526 114.026144) + (xy 141.414473 114.007617) + (xy 141.414473 114.007616) + (xy 141.414475 114.007616) + (xy 141.520711 113.929211) + (xy 141.599116 113.822975) + (xy 141.610172 113.791381) + (xy 141.642723 113.698354) + (xy 141.642722 113.698354) + (xy 141.642725 113.698349) + (xy 141.6455 113.668756) + (xy 141.6455 113.063244) + (xy 141.642725 113.033651) + (xy 141.642723 113.033645) + (xy 141.599117 112.909026) + (xy 141.554993 112.84924) + (xy 141.520711 112.802789) + (xy 141.470134 112.765462) + (xy 141.414473 112.724382) + (xy 141.289854 112.680776) + (xy 141.289855 112.680776) + (xy 141.28985 112.680775) + (xy 141.289849 112.680775) + (xy 141.260256 112.678) + (xy 140.679744 112.678) + (xy 140.650151 112.680775) + (xy 140.650149 112.680775) + (xy 140.650145 112.680776) + (xy 140.525526 112.724382) + (xy 140.41929 112.802788) + (xy 140.419288 112.80279) + (xy 140.340883 112.909026) + (xy 140.31222 112.990941) + (xy 140.280169 113.03012) + (xy 140.242373 113.0405) + (xy 140.1915 113.0405) + (xy 140.143934 113.023187) + (xy 140.118624 112.97935) + (xy 140.1175 112.9665) + (xy 140.1175 112.84924) + (xy 140.1175 112.849234) + (xy 140.114646 112.818801) + (xy 140.081607 112.724382) + (xy 140.069793 112.690618) + (xy 140.069792 112.690616) + (xy 139.989153 112.581353) + (xy 139.989146 112.581346) + (xy 139.879883 112.500707) + (xy 139.879881 112.500706) + (xy 139.751704 112.455855) + (xy 139.751705 112.455855) + (xy 139.7517 112.455854) + (xy 139.751699 112.455854) + (xy 139.721266 112.453) + (xy 139.72126 112.453) + (xy 139.3375 112.453) + (xy 139.289934 112.435687) + (xy 139.264624 112.39185) + (xy 139.2635 112.379) + (xy 139.2635 112.164) + (xy 139.280813 112.116434) + (xy 139.32465 112.091124) + (xy 139.3375 112.09) + (xy 139.342258 112.09) + (xy 139.342266 112.09) + (xy 139.372699 112.087146) + (xy 139.500882 112.042293) + (xy 139.61015 111.96165) + (xy 139.690793 111.852382) + (xy 139.735646 111.724199) + (xy 139.7385 111.693766) + (xy 139.7385 111.035234) + (xy 139.735646 111.004801) + (xy 139.690793 110.876618) + (xy 139.690792 110.876616) + (xy 139.610153 110.767353) + (xy 139.610146 110.767346) + (xy 139.500883 110.686707) + (xy 139.500881 110.686706) + (xy 139.372704 110.641855) + (xy 139.372705 110.641855) + (xy 139.3727 110.641854) + (xy 139.372699 110.641854) + (xy 139.342266 110.639) + (xy 138.533734 110.639) + (xy 138.503301 110.641854) + (xy 138.503299 110.641854) + (xy 138.503295 110.641855) + (xy 138.375118 110.686706) + (xy 138.375116 110.686707) + (xy 138.265853 110.767346) + (xy 138.265846 110.767353) + (xy 138.185207 110.876616) + (xy 138.185206 110.876618) + (xy 138.140355 111.004795) + (xy 138.140354 111.004799) + (xy 138.140354 111.004801) + (xy 138.1375 111.035234) + (xy 138.1375 111.693766) + (xy 136.4245 111.693766) + (xy 136.4245 110.998752) + (xy 136.412867 110.940269) + (xy 136.368552 110.873948) + (xy 136.368548 110.873945) + (xy 136.364929 110.870326) + (xy 136.343537 110.82445) + (xy 136.356638 110.775555) + (xy 136.398102 110.746521) + (xy 136.417255 110.744) + (xy 136.652 110.744) + (xy 136.652 109.368) + (xy 136.669313 109.320434) + (xy 136.71315 109.295124) + (xy 136.726 109.294) + (xy 138.0635 109.294) + (xy 138.111066 109.311313) + (xy 138.136376 109.35515) + (xy 138.1375 109.368) + (xy 138.1375 109.393766) + (xy 138.140354 109.424199) + (xy 138.140354 109.424201) + (xy 138.140355 109.424204) + (xy 138.185206 109.552381) + (xy 138.185207 109.552383) + (xy 138.265846 109.661646) + (xy 138.265853 109.661653) + (xy 138.375116 109.742292) + (xy 138.375118 109.742293) + (xy 138.503295 109.787144) + (xy 138.503301 109.787146) + (xy 138.533734 109.79) + (xy 138.533741 109.79) + (xy 139.342258 109.79) + (xy 139.342266 109.79) + (xy 139.372699 109.787146) + (xy 139.500882 109.742293) + (xy 139.61015 109.66165) + (xy 139.690793 109.552382) + (xy 139.735646 109.424199) + (xy 139.7385 109.393766) + (xy 139.7385 108.735234) + (xy 139.735646 108.704801) + (xy 139.690793 108.576618) + (xy 139.685171 108.569) + (xy 139.610153 108.467353) + (xy 139.610146 108.467346) + (xy 139.500883 108.386707) + (xy 139.500881 108.386706) + (xy 139.372704 108.341855) + (xy 139.372705 108.341855) + (xy 139.3727 108.341854) + (xy 139.372699 108.341854) + (xy 139.342266 108.339) + (xy 138.533734 108.339) + (xy 138.503301 108.341854) + (xy 138.503299 108.341854) + (xy 138.503295 108.341855) + (xy 138.375118 108.386706) + (xy 138.375116 108.386707) + (xy 138.265853 108.467346) + (xy 138.265846 108.467353) + (xy 138.185207 108.576616) + (xy 138.185205 108.576619) + (xy 138.17932 108.59344) + (xy 138.147269 108.63262) + (xy 138.109473 108.643) + (xy 136.726 108.643) + (xy 136.678434 108.625687) + (xy 136.653124 108.58185) + (xy 136.652 108.569) + (xy 136.652 106.88) + (xy 138.067 106.88) + (xy 138.067 107.083141) + (xy 138.0699 107.119993) + (xy 138.115718 107.277699) + (xy 138.199317 107.419056) + (xy 138.315443 107.535182) + (xy 138.4568 107.618781) + (xy 138.614508 107.664599) + (xy 138.614505 107.664599) + (xy 138.651359 107.6675) + (xy 138.992 107.6675) + (xy 138.992 106.88) + (xy 139.392 106.88) + (xy 139.392 107.6675) + (xy 139.732641 107.6675) + (xy 139.769493 107.664599) + (xy 139.927199 107.618781) + (xy 140.068556 107.535182) + (xy 140.184682 107.419056) + (xy 140.268281 107.277699) + (xy 140.314099 107.119993) + (xy 140.317 107.083141) + (xy 140.317 106.88) + (xy 139.392 106.88) + (xy 138.992 106.88) + (xy 138.067 106.88) + (xy 136.652 106.88) + (xy 136.652 106.48) + (xy 138.067 106.48) + (xy 138.992 106.48) + (xy 138.992 105.6925) + (xy 139.392 105.6925) + (xy 139.392 106.48) + (xy 140.317 106.48) + (xy 140.317 106.276858) + (xy 140.314099 106.240006) + (xy 140.268281 106.0823) + (xy 140.184682 105.940943) + (xy 140.068556 105.824817) + (xy 139.927199 105.741218) + (xy 139.769491 105.6954) + (xy 139.769494 105.6954) + (xy 139.732641 105.6925) + (xy 139.392 105.6925) + (xy 138.992 105.6925) + (xy 138.651359 105.6925) + (xy 138.614506 105.6954) + (xy 138.4568 105.741218) + (xy 138.315443 105.824817) + (xy 138.199317 105.940943) + (xy 138.115718 106.0823) + (xy 138.0699 106.240006) + (xy 138.067 106.276858) + (xy 138.067 106.48) + (xy 136.652 106.48) + (xy 136.652 102.944) + (xy 136.669313 102.896434) + (xy 136.71315 102.871124) + (xy 136.726 102.87) + (xy 138.3175 102.87) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 117.920171 122.766765) + (xy 117.922411 122.768398) + (xy 117.982236 122.813765) + (xy 118.114703 122.866003) + (xy 118.189886 122.875031) + (xy 118.197947 122.876) + (xy 118.197948 122.876) + (xy 118.625154 122.876) + (xy 118.67272 122.893313) + (xy 118.69803 122.93715) + (xy 118.68924 122.987) + (xy 118.67748 123.002326) + (xy 111.28703 130.392773) + (xy 111.28465 130.394954) + (xy 111.252808 130.421674) + (xy 111.252805 130.421677) + (xy 111.232019 130.457678) + (xy 111.230285 130.4604) + (xy 111.206447 130.494444) + (xy 111.206445 130.494449) + (xy 111.205201 130.499091) + (xy 111.197815 130.516922) + (xy 111.195412 130.521083) + (xy 111.195412 130.521084) + (xy 111.188193 130.562016) + (xy 111.187495 130.565165) + (xy 111.176735 130.605324) + (xy 111.176735 130.605326) + (xy 111.180359 130.64673) + (xy 111.1805 130.649957) + (xy 111.1805 141.070834) + (xy 111.163187 141.1184) + (xy 111.11935 141.14371) + (xy 111.116161 141.144201) + (xy 111.095238 141.146956) + (xy 110.949158 141.207464) + (xy 110.82372 141.303715) + (xy 110.823715 141.30372) + (xy 110.727464 141.429158) + (xy 110.703867 141.486127) + (xy 110.669669 141.523447) + (xy 110.619483 141.530054) + (xy 110.576792 141.502856) + (xy 110.5615 141.457808) + (xy 110.5615 130.069609) + (xy 110.578813 130.022043) + (xy 110.583163 130.017294) + (xy 117.825401 122.775055) + (xy 117.871276 122.753664) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 141.732 137.207673) + (xy 139.539174 135.014847) + (xy 139.517782 134.968971) + (xy 139.5175 134.962521) + (xy 139.5175 120.180478) + (xy 139.534813 120.132912) + (xy 139.539174 120.128152) + (xy 140.624977 119.042349) + (xy 141.732 117.935326) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 141.732 104.441674) + (xy 140.195357 102.905031) + (xy 140.193176 102.902651) + (xy 140.166454 102.870805) + (xy 140.16506 102.87) + (xy 141.732 102.87) + ) + ) + ) + (zone (net 2) (net_name "GND") (layer "F.Cu") (tstamp a2df2bbe-349c-4503-8ddf-9a1dfb3ba866) (hatch edge 0.5) + (connect_pads yes (clearance 0)) + (min_thickness 0.2) (filled_areas_thickness no) + (fill yes (thermal_gap 0.5) (thermal_bridge_width 0.5) (island_removal_mode 1) (island_area_min 10)) + (polygon + (pts + (xy 178.562 80.264) + (xy 178.562 72.39) + (xy 185.674 72.39) + (xy 185.674 80.264) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 178.938471 75.447813) + (xy 179.416111 75.750933) + (xy 179.911841 76.023463) + (xy 180.423706 76.264328) + (xy 180.949684 76.472578) + (xy 181.487701 76.64739) + (xy 182.035633 76.788075) + (xy 182.189116 76.817353) + (xy 182.190734 76.818242) + (xy 182.212767 76.82188) + (xy 182.213949 76.82209) + (xy 182.591317 76.894077) + (xy 182.758056 76.915141) + (xy 182.7614 76.916714) + (xy 182.802066 76.920719) + (xy 182.803353 76.920863) + (xy 183.15256 76.964979) + (xy 183.332074 76.976273) + (xy 183.337199 76.978302) + (xy 183.393006 76.980128) + (xy 183.394473 76.980198) + (xy 183.717148 77.0005) + (xy 183.9005 77.0005) + (xy 183.958691 77.019407) + (xy 183.994655 77.068907) + (xy 183.9995 77.0995) + (xy 183.9995 80.264) + (xy 178.661 80.264) + (xy 178.602809 80.245093) + (xy 178.566845 80.195593) + (xy 178.562 80.165) + (xy 178.562 76.454001) + (xy 178.562 75.174291) + ) + ) + ) + (zone (net 2) (net_name "GND") (layer "F.Cu") (tstamp a6ba127f-4e76-4c63-9c38-b69d0a3b5904) (hatch edge 0.5) + (connect_pads yes (clearance 0)) + (min_thickness 0.2) (filled_areas_thickness no) + (fill yes (thermal_gap 0.5) (thermal_bridge_width 0.5) (island_removal_mode 1) (island_area_min 10)) + (polygon + (pts + (xy 133.35 98.552) + (xy 127.762 98.552) + (xy 127.762 76.454) + (xy 133.35 76.454) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 133.349999 76.454001) + (xy 133.35 98.453) + (xy 133.331093 98.511191) + (xy 133.281593 98.547155) + (xy 133.251 98.552) + (xy 133.096 98.552) + (xy 133.096 76.454) + (xy 133.35 76.454) + ) + ) + ) + (zone (net 22) (net_name "Net-(C23-Pad1)") (layer "F.Cu") (tstamp ab314842-1a3e-4d28-9f83-a50b72a3c49e) (hatch edge 0.5) + (connect_pads yes (clearance 0)) + (min_thickness 0.2) (filled_areas_thickness no) + (fill yes (thermal_gap 0.5) (thermal_bridge_width 0.5) (island_removal_mode 1) (island_area_min 10)) + (polygon + (pts + (xy 172.466 133.35) + (xy 174.498 133.35) + (xy 174.498 140.208) + (xy 172.466 140.208) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 174.457191 133.368907) + (xy 174.493155 133.418407) + (xy 174.498 133.449) + (xy 174.498 140.109) + (xy 174.479093 140.167191) + (xy 174.429593 140.203155) + (xy 174.399 140.208) + (xy 172.565 140.208) + (xy 172.506809 140.189093) + (xy 172.470845 140.139593) + (xy 172.466 140.109) + (xy 172.466 133.449) + (xy 172.484907 133.390809) + (xy 172.534407 133.354845) + (xy 172.565 133.35) + (xy 174.399 133.35) + ) + ) + ) + (zone (net 2) (net_name "GND") (layer "F.Cu") (tstamp ae90a8ce-9cd4-4f73-bf1f-c75fbeb56429) (hatch edge 0.5) + (connect_pads yes (clearance 0)) + (min_thickness 0.2) (filled_areas_thickness no) + (fill yes (thermal_gap 0.5) (thermal_bridge_width 0.5) (island_removal_mode 1) (island_area_min 10)) + (polygon + (pts + (xy 179.07 109.728) + (xy 179.07 95.25) + (xy 186.182 95.25) + (xy 186.182 109.728) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 183.9995 109.728) + (xy 179.07 109.728) + (xy 179.07 95.25) + (xy 183.9995 95.25) + ) + ) + ) + (zone (net 0) (net_name "") (layer "F.Cu") (tstamp b2230339-55fb-4b22-9ba8-f01ef15a57cd) (hatch edge 0.5) + (connect_pads (clearance 0)) + (min_thickness 0.25) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.5) (thermal_bridge_width 0.5)) + (polygon + (pts + (xy 173.482 145.034) + (xy 173.482 149.352) + (xy 175.514 149.352) + (xy 175.514 155.448) + (xy 169.418 155.448) + (xy 169.418 153.416) + (xy 164.846 153.416) + (xy 164.846 151.384) + (xy 169.418 151.384) + (xy 169.418 149.352) + (xy 171.45 149.352) + (xy 171.45 145.034) + ) + ) + ) + (zone (net 12) (net_name "Net-(C10-Pad1)") (layer "F.Cu") (tstamp c5103630-be80-41db-bf1c-c82252b780da) (hatch edge 0.5) + (connect_pads yes (clearance 0)) + (min_thickness 0.2) (filled_areas_thickness no) + (fill yes (thermal_gap 0.5) (thermal_bridge_width 0.5) (island_removal_mode 1) (island_area_min 10)) + (polygon + (pts + (xy 143.764 77.978) + (xy 143.764 80.01) + (xy 136.906 80.01) + (xy 136.906 77.978) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 143.723191 77.996907) + (xy 143.759155 78.046407) + (xy 143.764 78.077) + (xy 143.764 79.911) + (xy 143.745093 79.969191) + (xy 143.695593 80.005155) + (xy 143.665 80.01) + (xy 136.906 80.01) + (xy 136.906 77.992222) + (xy 136.931521 77.979219) + (xy 136.947008 77.978) + (xy 143.665 77.978) + ) + ) + ) + (zone (net 2) (net_name "GND") (layer "F.Cu") (tstamp c7d1ee37-7f01-48ca-a75e-f95573b79b8c) (hatch edge 0.5) + (connect_pads yes (clearance 0)) + (min_thickness 0.2) (filled_areas_thickness no) + (fill yes (thermal_gap 0.5) (thermal_bridge_width 0.5) (island_removal_mode 1) (island_area_min 10)) + (polygon + (pts + (xy 183.896 67.564) + (xy 183.896 76.454) + (xy 131.826 76.454) + (xy 131.826 67.564) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 174.958691 68.019407) + (xy 174.994655 68.068907) + (xy 174.9995 68.099499) + (xy 174.9995 68.282852) + (xy 175.007295 68.406764) + (xy 175.019797 68.60548) + (xy 175.019869 68.606968) + (xy 175.021545 68.658177) + (xy 175.023726 68.667914) + (xy 175.035021 68.847443) + (xy 175.035022 68.847451) + (xy 175.079125 69.196557) + (xy 175.079276 69.197908) + (xy 175.082979 69.235501) + (xy 175.084858 69.241939) + (xy 175.105922 69.408675) + (xy 175.105927 69.408708) + (xy 175.177898 69.785992) + (xy 175.178113 69.787203) + (xy 175.181502 69.807732) + (xy 175.182645 69.810881) + (xy 175.211924 69.964365) + (xy 175.211925 69.964369) + (xy 175.316667 70.37231) + (xy 175.316689 70.372403) + (xy 175.316691 70.372406) + (xy 175.337619 70.453914) + (xy 175.35261 70.512299) + (xy 175.527422 71.050316) + (xy 175.733556 71.570949) + (xy 175.735674 71.576299) + (xy 175.976537 72.088159) + (xy 175.976544 72.088173) + (xy 176.112537 72.335542) + (xy 176.249067 72.583889) + (xy 176.552187 73.061529) + (xy 176.884699 73.519193) + (xy 177.245293 73.955076) + (xy 177.632544 74.367456) + (xy 178.044924 74.754707) + (xy 178.480807 75.115301) + (xy 178.938471 75.447813) + (xy 179.416111 75.750933) + (xy 179.911841 76.023463) + (xy 180.423706 76.264328) + (xy 180.902761 76.454) + (xy 133.096 76.454) + (xy 133.096 72.136) + (xy 170.46039 72.136) + (xy 170.480803 72.421422) + (xy 170.480803 72.421425) + (xy 170.480804 72.421428) + (xy 170.541631 72.701046) + (xy 170.541632 72.70105) + (xy 170.641633 72.969162) + (xy 170.641634 72.969164) + (xy 170.77697 73.217011) + (xy 170.778774 73.220315) + (xy 170.950261 73.449395) + (xy 171.152605 73.651739) + (xy 171.381685 73.823226) + (xy 171.632839 73.960367) + (xy 171.900954 74.060369) + (xy 172.180572 74.121196) + (xy 172.466 74.14161) + (xy 172.751428 74.121196) + (xy 173.031046 74.060369) + (xy 173.299161 73.960367) + (xy 173.550315 73.823226) + (xy 173.779395 73.651739) + (xy 173.981739 73.449395) + (xy 174.153226 73.220315) + (xy 174.290367 72.969161) + (xy 174.390369 72.701046) + (xy 174.451196 72.421428) + (xy 174.47161 72.136) + (xy 174.451196 71.850572) + (xy 174.390369 71.570954) + (xy 174.290367 71.302839) + (xy 174.153226 71.051685) + (xy 173.981739 70.822605) + (xy 173.779395 70.620261) + (xy 173.550315 70.448774) + (xy 173.55031 70.448771) + (xy 173.299164 70.311634) + (xy 173.299162 70.311633) + (xy 173.03105 70.211632) + (xy 173.031046 70.211631) + (xy 172.751428 70.150804) + (xy 172.751425 70.150803) + (xy 172.751422 70.150803) + (xy 172.497776 70.132662) + (xy 172.466 70.13039) + (xy 172.465999 70.13039) + (xy 172.180577 70.150803) + (xy 171.900949 70.211632) + (xy 171.632837 70.311633) + (xy 171.632835 70.311634) + (xy 171.381689 70.448771) + (xy 171.152609 70.620257) + (xy 170.950257 70.822609) + (xy 170.778771 71.051689) + (xy 170.641634 71.302835) + (xy 170.641633 71.302837) + (xy 170.541632 71.570949) + (xy 170.480803 71.850577) + (xy 170.46039 72.136) + (xy 133.096 72.136) + (xy 133.096 68.0005) + (xy 174.9005 68.0005) + ) + ) + ) + (zone (net 17) (net_name "Net-(Q1-G)") (layer "F.Cu") (tstamp d5f4c73e-01ef-4901-abe4-34414733b30f) (hatch edge 0.5) + (connect_pads yes (clearance 0)) + (min_thickness 0.2) (filled_areas_thickness no) + (fill yes (thermal_gap 0.5) (thermal_bridge_width 0.5) (island_removal_mode 1) (island_area_min 10)) + (polygon + (pts + (xy 174.498 80.01) + (xy 174.498 83.312) + (xy 172.466 83.312) + (xy 172.466 77.978) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 172.483183 77.996907) + (xy 172.494996 78.006996) + (xy 174.469005 79.981005) + (xy 174.496781 80.03552) + (xy 174.498 80.051006) + (xy 174.498 83.312) + (xy 172.466 83.312) + (xy 172.466 77.991324) + ) + ) + ) + (zone (net 0) (net_name "") (layer "F.Cu") (tstamp dc4598a6-8184-4162-99b1-c13cfd42ebb4) (hatch edge 0.5) + (connect_pads (clearance 0)) + (min_thickness 0.25) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.5) (thermal_bridge_width 0.5)) + (polygon + (pts + (xy 121.158 73.025) + (xy 124.714 73.025) + (xy 124.714 70.866) + (xy 130.81 70.866) + (xy 130.81 76.962) + (xy 124.714 76.962) + (xy 124.714 74.676) + (xy 121.158 74.676) + ) + ) + ) + (zone (net 16) (net_name "Net-(C14-Pad1)") (layer "F.Cu") (tstamp dd712595-e41f-4771-9629-b0d7c30bd824) (hatch edge 0.5) + (connect_pads yes (clearance 0)) + (min_thickness 0.2) (filled_areas_thickness no) + (fill yes (thermal_gap 0.5) (thermal_bridge_width 0.5) (island_removal_mode 1) (island_area_min 10)) + (polygon + (pts + (xy 159.004 77.978) + (xy 159.004 80.01) + (xy 145.796 80.01) + (xy 145.796 77.978) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 158.963191 77.996907) + (xy 158.999155 78.046407) + (xy 159.004 78.077) + (xy 159.004 79.911) + (xy 158.985093 79.969191) + (xy 158.935593 80.005155) + (xy 158.905 80.01) + (xy 145.895 80.01) + (xy 145.836809 79.991093) + (xy 145.800845 79.941593) + (xy 145.796 79.911) + (xy 145.796 78.077) + (xy 145.814907 78.018809) + (xy 145.864407 77.982845) + (xy 145.895 77.978) + (xy 158.905 77.978) + ) + ) + ) + (zone (net 12) (net_name "Net-(C10-Pad1)") (layer "F.Cu") (tstamp df948b35-b29f-446c-a4a9-48bb4973cb83) (hatch edge 0.5) + (connect_pads yes (clearance 0)) + (min_thickness 0.2) (filled_areas_thickness no) + (fill yes (thermal_gap 0.5) (thermal_bridge_width 0.5) (island_removal_mode 1) (island_area_min 10)) + (polygon + (pts + (xy 134.874 80.01) + (xy 136.906 77.978) + (xy 136.906 94.742) + (xy 134.874 94.742) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 136.906 80.01) + (xy 136.906 80.010001) + (xy 136.906 94.643) + (xy 136.887093 94.701191) + (xy 136.837593 94.737155) + (xy 136.807 94.742) + (xy 134.973 94.742) + (xy 134.914809 94.723093) + (xy 134.878845 94.673593) + (xy 134.874 94.643) + (xy 134.874 80.051008) + (xy 134.892907 79.992817) + (xy 134.902996 79.981004) + (xy 136.877004 78.006996) + (xy 136.906 77.992222) + ) + ) + ) + (zone (net 17) (net_name "Net-(Q1-G)") (layer "F.Cu") (tstamp e11709b4-60a5-48e9-890d-169e55cdf48c) (hatch edge 0.5) + (connect_pads yes (clearance 0)) + (min_thickness 0.2) (filled_areas_thickness no) + (fill yes (thermal_gap 0.5) (thermal_bridge_width 0.5) (island_removal_mode 1) (island_area_min 10)) + (polygon + (pts + (xy 161.036 77.978) + (xy 172.466 77.978) + (xy 172.466 80.01) + (xy 161.036 80.01) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 172.465999 77.991324) + (xy 172.466 80.01) + (xy 161.135 80.01) + (xy 161.076809 79.991093) + (xy 161.040845 79.941593) + (xy 161.036 79.911) + (xy 161.036 78.077) + (xy 161.054907 78.018809) + (xy 161.104407 77.982845) + (xy 161.135 77.978) + (xy 172.424992 77.978) + ) + ) + ) + (zone (net 19) (net_name "Net-(Q1-D)") (layer "F.Cu") (tstamp e9993b29-a1f4-4851-b777-ee40af643649) (hatch edge 0.5) + (connect_pads yes (clearance 0)) + (min_thickness 0.2) (filled_areas_thickness no) + (fill yes (thermal_gap 0.5) (thermal_bridge_width 0.5) (island_removal_mode 1) (island_area_min 10)) + (polygon + (pts + (xy 172.466 99.06) + (xy 174.498 99.06) + (xy 174.498 114.554) + (xy 172.466 114.554) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 174.498 108.712) + (xy 174.498 114.455) + (xy 174.479093 114.513191) + (xy 174.429593 114.549155) + (xy 174.399 114.554) + (xy 172.565 114.554) + (xy 172.506809 114.535093) + (xy 172.470845 114.485593) + (xy 172.466 114.455) + (xy 172.466 108.712001) + (xy 172.466 99.06) + (xy 174.498 99.06) + ) + ) + ) + (zone (net 2) (net_name "GND") (layer "F.Cu") (tstamp eac40498-aae8-49cc-be17-fe7f6c9f3841) (hatch edge 0.5) + (connect_pads yes (clearance 0)) + (min_thickness 0.2) (filled_areas_thickness no) + (fill yes (thermal_gap 0.5) (thermal_bridge_width 0.5) (island_removal_mode 1) (island_area_min 10)) + (polygon + (pts + (xy 166.37 81.534) + (xy 166.37 95.25) + (xy 138.43 95.25) + (xy 138.43 81.534) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 166.329191 81.552907) + (xy 166.365155 81.602407) + (xy 166.37 81.633) + (xy 166.37 86.953507) + (xy 166.351093 87.011698) + (xy 166.301593 87.047662) + (xy 166.240407 87.047662) + (xy 166.212213 87.033163) + (xy 166.168475 87.000884) + (xy 166.168476 87.000884) + (xy 166.043852 86.957276) + (xy 166.043851 86.957275) + (xy 166.043849 86.957275) + (xy 166.043847 86.957274) + (xy 166.043844 86.957274) + (xy 166.014266 86.9545) + (xy 166.014256 86.9545) + (xy 165.408744 86.9545) + (xy 165.408733 86.9545) + (xy 165.379155 86.957274) + (xy 165.379147 86.957276) + (xy 165.254523 87.000884) + (xy 165.148293 87.079285) + (xy 165.148285 87.079293) + (xy 165.069885 87.185521) + (xy 165.069882 87.185527) + (xy 165.051452 87.238198) + (xy 165.014386 87.286879) + (xy 164.958008 87.3045) + (xy 163.578781 87.3045) + (xy 163.52059 87.285593) + (xy 163.484626 87.236093) + (xy 163.483265 87.23107) + (xy 163.449013 87.133184) + (xy 163.437793 87.101118) + (xy 163.413015 87.067545) + (xy 163.357154 86.991855) + (xy 163.357152 86.991853) + (xy 163.35715 86.99185) + (xy 163.357146 86.991847) + (xy 163.357144 86.991845) + (xy 163.247883 86.911207) + (xy 163.119703 86.866355) + (xy 163.119694 86.866353) + (xy 163.089274 86.8635) + (xy 163.089266 86.8635) + (xy 162.030734 86.8635) + (xy 162.030725 86.8635) + (xy 162.000305 86.866353) + (xy 162.000296 86.866355) + (xy 161.872116 86.911207) + (xy 161.762855 86.991845) + (xy 161.762845 86.991855) + (xy 161.682207 87.101116) + (xy 161.637444 87.229042) + (xy 161.600379 87.277722) + (xy 161.541778 87.295319) + (xy 161.484027 87.275111) + (xy 161.450556 87.229042) + (xy 161.407132 87.104945) + (xy 161.405793 87.101118) + (xy 161.381015 87.067545) + (xy 161.325154 86.991855) + (xy 161.325152 86.991853) + (xy 161.32515 86.99185) + (xy 161.325146 86.991847) + (xy 161.325144 86.991845) + (xy 161.215883 86.911207) + (xy 161.087703 86.866355) + (xy 161.087694 86.866353) + (xy 161.057274 86.8635) + (xy 161.057266 86.8635) + (xy 159.998734 86.8635) + (xy 159.998725 86.8635) + (xy 159.968305 86.866353) + (xy 159.968296 86.866355) + (xy 159.840116 86.911207) + (xy 159.730855 86.991845) + (xy 159.730845 86.991855) + (xy 159.650207 87.101116) + (xy 159.605355 87.229296) + (xy 159.605353 87.229305) + (xy 159.604702 87.236247) + (xy 159.580442 87.292418) + (xy 159.527799 87.323601) + (xy 159.506135 87.326) + (xy 159.3875 87.326) + (xy 159.329309 87.307093) + (xy 159.293345 87.257593) + (xy 159.2885 87.227) + (xy 159.288499 86.204734) + (xy 159.285646 86.174305) + (xy 159.285646 86.174302) + (xy 159.240793 86.046118) + (xy 159.240791 86.046116) + (xy 159.240791 86.046114) + (xy 159.160154 85.936855) + (xy 159.160152 85.936853) + (xy 159.16015 85.93685) + (xy 159.160146 85.936847) + (xy 159.160144 85.936845) + (xy 159.050885 85.856208) + (xy 159.036697 85.851243) + (xy 158.922698 85.811354) + (xy 158.922696 85.811353) + (xy 158.922694 85.811353) + (xy 158.892272 85.8085) + (xy 157.083734 85.8085) + (xy 157.053305 85.811353) + (xy 156.925114 85.856208) + (xy 156.815855 85.936845) + (xy 156.815845 85.936855) + (xy 156.735208 86.046114) + (xy 156.690353 86.174305) + (xy 156.6875 86.204726) + (xy 156.6875 87.238265) + (xy 156.690353 87.268694) + (xy 156.690353 87.268696) + (xy 156.690354 87.268698) + (xy 156.722026 87.359212) + (xy 156.735208 87.396885) + (xy 156.815845 87.506144) + (xy 156.815847 87.506146) + (xy 156.81585 87.50615) + (xy 156.815853 87.506152) + (xy 156.815855 87.506154) + (xy 156.925114 87.586791) + (xy 156.925116 87.586791) + (xy 156.925118 87.586793) + (xy 157.053302 87.631646) + (xy 157.083735 87.6345) + (xy 158.399665 87.634499) + (xy 158.457856 87.653406) + (xy 158.469669 87.663495) + (xy 158.676257 87.870084) + (xy 158.679175 87.873269) + (xy 158.705544 87.904693) + (xy 158.705545 87.904694) + (xy 158.741083 87.925212) + (xy 158.744713 87.927525) + (xy 158.781672 87.953404) + (xy 158.818494 88.002269) + (xy 158.819561 88.063446) + (xy 158.784466 88.113565) + (xy 158.726614 88.133485) + (xy 158.724887 88.1335) + (xy 157.083734 88.1335) + (xy 157.053305 88.136353) + (xy 156.925114 88.181208) + (xy 156.815855 88.261845) + (xy 156.815845 88.261855) + (xy 156.735208 88.371114) + (xy 156.690353 88.499305) + (xy 156.6875 88.529726) + (xy 156.6875 88.622) + (xy 156.668593 88.680191) + (xy 156.619093 88.716155) + (xy 156.5885 88.721) + (xy 156.4725 88.721) + (xy 156.414309 88.702093) + (xy 156.378345 88.652593) + (xy 156.3735 88.622) + (xy 156.3735 88.529727) + (xy 156.373499 88.529725) + (xy 156.372348 88.517448) + (xy 156.370646 88.499301) + (xy 156.325793 88.371118) + (xy 156.32579 88.371114) + (xy 156.245154 88.261855) + (xy 156.245152 88.261853) + (xy 156.24515 88.26185) + (xy 156.245146 88.261847) + (xy 156.245144 88.261845) + (xy 156.135883 88.181207) + (xy 156.007703 88.136355) + (xy 156.007694 88.136353) + (xy 155.977274 88.1335) + (xy 155.977266 88.1335) + (xy 154.918734 88.1335) + (xy 154.918725 88.1335) + (xy 154.888305 88.136353) + (xy 154.888296 88.136355) + (xy 154.760116 88.181207) + (xy 154.650855 88.261845) + (xy 154.650845 88.261855) + (xy 154.570207 88.371116) + (xy 154.525355 88.499296) + (xy 154.525353 88.499305) + (xy 154.5225 88.529725) + (xy 154.5225 89.2375) + (xy 154.503593 89.295691) + (xy 154.454093 89.331655) + (xy 154.4235 89.3365) + (xy 154.13094 89.3365) + (xy 154.072749 89.317593) + (xy 154.036785 89.268093) + (xy 154.036785 89.206907) + (xy 154.048625 89.182498) + (xy 154.058703 89.167414) + (xy 154.076867 89.140231) + (xy 154.0885 89.081748) + (xy 154.0885 87.742252) + (xy 154.076867 87.683769) + (xy 154.032552 87.617448) + (xy 154.01534 87.605947) + (xy 153.966233 87.573134) + (xy 153.966231 87.573133) + (xy 153.966228 87.573132) + (xy 153.966227 87.573132) + (xy 153.907758 87.561501) + (xy 153.907748 87.5615) + (xy 153.907747 87.5615) + (xy 153.508718 87.5615) + (xy 153.450527 87.542593) + (xy 153.414563 87.493093) + (xy 153.413092 87.488124) + (xy 153.40664 87.464048) + (xy 153.40571 87.459848) + (xy 153.403447 87.447013) + (xy 153.398588 87.419455) + (xy 153.39784 87.418159) + (xy 153.387942 87.394267) + (xy 153.387554 87.392816) + (xy 153.387552 87.392813) + (xy 153.387551 87.392811) + (xy 153.364025 87.359212) + (xy 153.361703 87.355568) + (xy 153.341193 87.320043) + (xy 153.312983 87.296373) + (xy 153.309765 87.293673) + (xy 153.306588 87.290761) + (xy 152.378496 86.36267) + (xy 152.350719 86.308153) + (xy 152.3495 86.292666) + (xy 152.3495 85.815738) + (xy 152.349499 85.815733) + (xy 152.346725 85.786155) + (xy 152.346725 85.786151) + (xy 152.303116 85.661525) + (xy 152.244027 85.581462) + (xy 152.224714 85.555293) + (xy 152.224713 85.555292) + (xy 152.224711 85.555289) + (xy 152.224706 85.555285) + (xy 152.118476 85.476884) + (xy 151.993852 85.433276) + (xy 151.993851 85.433275) + (xy 151.993849 85.433275) + (xy 151.993847 85.433274) + (xy 151.993844 85.433274) + (xy 151.964266 85.4305) + (xy 151.964256 85.4305) + (xy 151.358744 85.4305) + (xy 151.358733 85.4305) + (xy 151.329155 85.433274) + (xy 151.329147 85.433276) + (xy 151.204523 85.476884) + (xy 151.098293 85.555285) + (xy 151.098285 85.555293) + (xy 151.019884 85.661523) + (xy 150.976276 85.786147) + (xy 150.976274 85.786155) + (xy 150.9735 85.815733) + (xy 150.9735 86.396266) + (xy 150.976274 86.425844) + (xy 150.976276 86.425852) + (xy 151.019884 86.550476) + (xy 151.098285 86.656706) + (xy 151.098289 86.656711) + (xy 151.098292 86.656713) + (xy 151.098293 86.656714) + (xy 151.204523 86.735115) + (xy 151.204524 86.735115) + (xy 151.204525 86.735116) + (xy 151.329151 86.778725) + (xy 151.356441 86.781284) + (xy 151.358733 86.781499) + (xy 151.358738 86.7815) + (xy 151.358744 86.7815) + (xy 151.835666 86.7815) + (xy 151.893857 86.800407) + (xy 151.90567 86.810496) + (xy 152.48767 87.392496) + (xy 152.515447 87.447013) + (xy 152.505876 87.507445) + (xy 152.462611 87.55071) + (xy 152.417666 87.5615) + (xy 152.268252 87.5615) + (xy 152.268251 87.5615) + (xy 152.268241 87.561501) + (xy 152.209772 87.573132) + (xy 152.209766 87.573134) + (xy 152.143451 87.617445) + (xy 152.143445 87.617451) + (xy 152.099134 87.683766) + (xy 152.099132 87.683772) + (xy 152.087501 87.742241) + (xy 152.0875 87.742253) + (xy 152.0875 89.081746) + (xy 152.087501 89.081758) + (xy 152.099132 89.140227) + (xy 152.099134 89.140233) + (xy 152.127375 89.182498) + (xy 152.143984 89.241386) + (xy 152.122807 89.29879) + (xy 152.071933 89.332783) + (xy 152.04506 89.3365) + (xy 151.2875 89.3365) + (xy 151.229309 89.317593) + (xy 151.193345 89.268093) + (xy 151.1885 89.2375) + (xy 151.1885 88.642253) + (xy 151.188498 88.642241) + (xy 151.184472 88.622) + (xy 151.176867 88.583769) + (xy 151.132552 88.517448) + (xy 151.132548 88.517445) + (xy 151.066233 88.473134) + (xy 151.066231 88.473133) + (xy 151.066228 88.473132) + (xy 151.066227 88.473132) + (xy 151.007758 88.461501) + (xy 151.007748 88.4615) + (xy 149.368252 88.4615) + (xy 149.368251 88.4615) + (xy 149.368241 88.461501) + (xy 149.309772 88.473132) + (xy 149.309766 88.473134) + (xy 149.243451 88.517445) + (xy 149.243445 88.517451) + (xy 149.199134 88.583766) + (xy 149.199132 88.583772) + (xy 149.187501 88.642241) + (xy 149.1875 88.642253) + (xy 149.1875 90.681746) + (xy 149.187501 90.681758) + (xy 149.199132 90.740227) + (xy 149.199134 90.740233) + (xy 149.243445 90.806548) + (xy 149.243448 90.806552) + (xy 149.309769 90.850867) + (xy 149.354231 90.859711) + (xy 149.368241 90.862498) + (xy 149.368246 90.862498) + (xy 149.368252 90.8625) + (xy 149.368253 90.8625) + (xy 151.007747 90.8625) + (xy 151.007748 90.8625) + (xy 151.066231 90.850867) + (xy 151.132552 90.806552) + (xy 151.176867 90.740231) + (xy 151.1885 90.681748) + (xy 151.1885 90.0865) + (xy 151.207407 90.028309) + (xy 151.256907 89.992345) + (xy 151.2875 89.9875) + (xy 152.04506 89.9875) + (xy 152.103251 90.006407) + (xy 152.139215 90.055907) + (xy 152.139215 90.117093) + (xy 152.127375 90.141502) + (xy 152.099134 90.183766) + (xy 152.099132 90.183772) + (xy 152.087501 90.242241) + (xy 152.0875 90.242253) + (xy 152.0875 91.581746) + (xy 152.087501 91.581758) + (xy 152.099132 91.640227) + (xy 152.099134 91.640233) + (xy 152.124038 91.677504) + (xy 152.143448 91.706552) + (xy 152.143451 91.706554) + (xy 152.144393 91.707496) + (xy 152.145402 91.709476) + (xy 152.148865 91.714659) + (xy 152.148251 91.715068) + (xy 152.17217 91.762013) + (xy 152.162599 91.822445) + (xy 152.119334 91.86571) + (xy 152.074389 91.8765) + (xy 148.7215 91.8765) + (xy 148.663309 91.857593) + (xy 148.627345 91.808093) + (xy 148.6225 91.7775) + (xy 148.6225 90.938253) + (xy 148.622498 90.938241) + (xy 148.619711 90.924231) + (xy 148.610867 90.879769) + (xy 148.566552 90.813448) + (xy 148.556226 90.806548) + (xy 148.500233 90.769134) + (xy 148.500231 90.769133) + (xy 148.500228 90.769132) + (xy 148.500227 90.769132) + (xy 148.441758 90.757501) + (xy 148.441748 90.7575) + (xy 146.402252 90.7575) + (xy 146.402251 90.7575) + (xy 146.402241 90.757501) + (xy 146.343772 90.769132) + (xy 146.343766 90.769134) + (xy 146.277451 90.813445) + (xy 146.277445 90.813451) + (xy 146.233134 90.879766) + (xy 146.233132 90.879772) + (xy 146.221501 90.938241) + (xy 146.2215 90.938253) + (xy 146.2215 92.477746) + (xy 146.221501 92.477758) + (xy 146.231396 92.5275) + (xy 146.233133 92.536231) + (xy 146.277448 92.602552) + (xy 146.343769 92.646867) + (xy 146.388231 92.655711) + (xy 146.402241 92.658498) + (xy 146.402246 92.658498) + (xy 146.402252 92.6585) + (xy 146.402253 92.6585) + (xy 148.441747 92.6585) + (xy 148.441748 92.6585) + (xy 148.500231 92.646867) + (xy 148.566552 92.602552) + (xy 148.587301 92.571498) + (xy 148.635352 92.533619) + (xy 148.669617 92.5275) + (xy 156.811106 92.5275) + (xy 156.840025 92.5275) + (xy 157.451525 92.5275) + (xy 163.274166 92.5275) + (xy 163.332357 92.546407) + (xy 163.34417 92.556496) + (xy 164.548505 93.760831) + (xy 164.576281 93.815346) + (xy 164.5775 93.830833) + (xy 164.5775 94.388489) + (xy 164.593281 94.488125) + (xy 164.593283 94.488132) + (xy 164.65447 94.608217) + (xy 164.654472 94.60822) + (xy 164.74978 94.703528) + (xy 164.749782 94.703529) + (xy 164.869867 94.764716) + (xy 164.869869 94.764716) + (xy 164.869874 94.764719) + (xy 164.945541 94.776703) + (xy 164.96951 94.7805) + (xy 164.969512 94.7805) + (xy 165.48649 94.7805) + (xy 165.507885 94.777111) + (xy 165.586126 94.764719) + (xy 165.70622 94.703528) + (xy 165.801528 94.60822) + (xy 165.862719 94.488126) + (xy 165.8785 94.388488) + (xy 165.8785 93.571512) + (xy 165.862719 93.471874) + (xy 165.862716 93.471869) + (xy 165.862716 93.471867) + (xy 165.801529 93.351782) + (xy 165.801528 93.35178) + (xy 165.70622 93.256472) + (xy 165.706217 93.25647) + (xy 165.586132 93.195283) + (xy 165.586127 93.195281) + (xy 165.586126 93.195281) + (xy 165.552913 93.19002) + (xy 165.48649 93.1795) + (xy 165.486488 93.1795) + (xy 164.969512 93.1795) + (xy 164.969506 93.1795) + (xy 164.948815 93.182777) + (xy 164.888383 93.173205) + (xy 164.863326 93.155) + (xy 163.691741 91.983414) + (xy 163.688822 91.980229) + (xy 163.662456 91.948806) + (xy 163.639818 91.935736) + (xy 163.626918 91.928288) + (xy 163.623288 91.925975) + (xy 163.589684 91.902446) + (xy 163.589679 91.902443) + (xy 163.588224 91.902054) + (xy 163.564348 91.892164) + (xy 163.563045 91.891411) + (xy 163.522652 91.884289) + (xy 163.518436 91.883354) + (xy 163.492153 91.876312) + (xy 163.478807 91.872736) + (xy 163.478806 91.872736) + (xy 163.474381 91.873123) + (xy 163.437926 91.876312) + (xy 163.433626 91.8765) + (xy 157.293 91.8765) + (xy 157.234809 91.857593) + (xy 157.198845 91.808093) + (xy 157.194 91.7775) + (xy 157.194 91.677365) + (xy 157.212907 91.619174) + (xy 157.2603 91.583921) + (xy 157.325475 91.561116) + (xy 157.431711 91.482711) + (xy 157.510116 91.376475) + (xy 157.553725 91.251849) + (xy 157.5565 91.222256) + (xy 157.5565 90.641744) + (xy 157.5565 90.641743) + (xy 157.5565 90.641738) + (xy 157.556499 90.641733) + (xy 157.553725 90.612155) + (xy 157.553725 90.612151) + (xy 157.510116 90.487525) + (xy 157.510113 90.487521) + (xy 157.431714 90.381293) + (xy 157.431713 90.381292) + (xy 157.431711 90.381289) + (xy 157.400833 90.3585) + (xy 157.325476 90.302884) + (xy 157.200852 90.259276) + (xy 157.200851 90.259275) + (xy 157.200849 90.259275) + (xy 157.200847 90.259274) + (xy 157.200844 90.259274) + (xy 157.171266 90.2565) + (xy 157.171256 90.2565) + (xy 156.565744 90.2565) + (xy 156.565733 90.2565) + (xy 156.536155 90.259274) + (xy 156.536147 90.259276) + (xy 156.411523 90.302884) + (xy 156.305293 90.381285) + (xy 156.305285 90.381293) + (xy 156.226884 90.487523) + (xy 156.183276 90.612147) + (xy 156.183274 90.612155) + (xy 156.1805 90.641733) + (xy 156.1805 91.222266) + (xy 156.183274 91.251844) + (xy 156.183276 91.251852) + (xy 156.226884 91.376476) + (xy 156.283032 91.452554) + (xy 156.305289 91.482711) + (xy 156.305292 91.482713) + (xy 156.305293 91.482714) + (xy 156.411521 91.561114) + (xy 156.411523 91.561114) + (xy 156.411525 91.561116) + (xy 156.476699 91.583921) + (xy 156.525378 91.620986) + (xy 156.543 91.677365) + (xy 156.543 91.7775) + (xy 156.524093 91.835691) + (xy 156.474593 91.871655) + (xy 156.444 91.8765) + (xy 154.101611 91.8765) + (xy 154.04342 91.857593) + (xy 154.007456 91.808093) + (xy 154.007456 91.746907) + (xy 154.027193 91.714698) + (xy 154.027135 91.714659) + (xy 154.02813 91.713169) + (xy 154.031607 91.707496) + (xy 154.032545 91.706556) + (xy 154.032552 91.706552) + (xy 154.076867 91.640231) + (xy 154.0885 91.581748) + (xy 154.0885 91.356499) + (xy 154.107407 91.298309) + (xy 154.156907 91.262345) + (xy 154.1875 91.2575) + (xy 154.290008 91.2575) + (xy 154.348199 91.276407) + (xy 154.383452 91.323802) + (xy 154.401882 91.376472) + (xy 154.401885 91.376478) + (xy 154.458032 91.452554) + (xy 154.480289 91.482711) + (xy 154.480292 91.482713) + (xy 154.480293 91.482714) + (xy 154.586523 91.561115) + (xy 154.586524 91.561115) + (xy 154.586525 91.561116) + (xy 154.711151 91.604725) + (xy 154.738441 91.607284) + (xy 154.740733 91.607499) + (xy 154.740738 91.6075) + (xy 154.740744 91.6075) + (xy 155.346262 91.6075) + (xy 155.346265 91.607499) + (xy 155.375849 91.604725) + (xy 155.500475 91.561116) + (xy 155.606711 91.482711) + (xy 155.685116 91.376475) + (xy 155.728725 91.251849) + (xy 155.7315 91.222256) + (xy 155.7315 90.641744) + (xy 155.7315 90.641743) + (xy 155.7315 90.641738) + (xy 155.731499 90.641733) + (xy 155.728725 90.612155) + (xy 155.728725 90.612151) + (xy 155.685116 90.487525) + (xy 155.685113 90.487521) + (xy 155.606714 90.381293) + (xy 155.606713 90.381292) + (xy 155.606711 90.381289) + (xy 155.575833 90.3585) + (xy 155.500476 90.302884) + (xy 155.375852 90.259276) + (xy 155.375851 90.259275) + (xy 155.375849 90.259275) + (xy 155.375847 90.259274) + (xy 155.375844 90.259274) + (xy 155.346266 90.2565) + (xy 155.346256 90.2565) + (xy 154.740744 90.2565) + (xy 154.740733 90.2565) + (xy 154.711155 90.259274) + (xy 154.711147 90.259276) + (xy 154.586523 90.302884) + (xy 154.480293 90.381285) + (xy 154.480285 90.381293) + (xy 154.401885 90.487521) + (xy 154.401882 90.487527) + (xy 154.383452 90.540198) + (xy 154.346386 90.588879) + (xy 154.290008 90.6065) + (xy 154.1875 90.6065) + (xy 154.129309 90.587593) + (xy 154.093345 90.538093) + (xy 154.0885 90.5075) + (xy 154.0885 90.242253) + (xy 154.088498 90.242241) + (xy 154.085711 90.228231) + (xy 154.076867 90.183769) + (xy 154.072844 90.177748) + (xy 154.048625 90.141502) + (xy 154.032016 90.082614) + (xy 154.053193 90.02521) + (xy 154.104067 89.991217) + (xy 154.13094 89.9875) + (xy 154.691126 89.9875) + (xy 154.695426 89.987687) + (xy 154.736307 89.991264) + (xy 154.775952 89.98064) + (xy 154.78015 89.97971) + (xy 154.820545 89.972588) + (xy 154.821845 89.971838) + (xy 154.845736 89.961942) + (xy 154.845964 89.96188) + (xy 154.847184 89.961554) + (xy 154.880803 89.938012) + (xy 154.884411 89.935714) + (xy 154.919955 89.915194) + (xy 154.946332 89.883757) + (xy 154.949231 89.880593) + (xy 155.091329 89.738496) + (xy 155.145847 89.710719) + (xy 155.161333 89.7095) + (xy 155.977273 89.7095) + (xy 155.977273 89.709499) + (xy 156.007699 89.706646) + (xy 156.135882 89.661793) + (xy 156.24515 89.58115) + (xy 156.325793 89.471882) + (xy 156.337543 89.438301) + (xy 156.374608 89.389622) + (xy 156.430987 89.372) + (xy 156.588501 89.372) + (xy 156.646692 89.390907) + (xy 156.682656 89.440407) + (xy 156.687501 89.471) + (xy 156.687501 89.563265) + (xy 156.690353 89.593694) + (xy 156.735208 89.721885) + (xy 156.815845 89.831144) + (xy 156.815847 89.831146) + (xy 156.81585 89.83115) + (xy 156.815853 89.831152) + (xy 156.815855 89.831154) + (xy 156.925114 89.911791) + (xy 156.925116 89.911791) + (xy 156.925118 89.911793) + (xy 157.053302 89.956646) + (xy 157.083735 89.9595) + (xy 158.892264 89.959499) + (xy 158.892265 89.959499) + (xy 158.89835 89.958928) + (xy 158.922698 89.956646) + (xy 159.050882 89.911793) + (xy 159.16015 89.83115) + (xy 159.240793 89.721882) + (xy 159.285646 89.593698) + (xy 159.2885 89.563265) + (xy 159.288499 88.529736) + (xy 159.285646 88.499302) + (xy 159.240793 88.371118) + (xy 159.240791 88.371116) + (xy 159.240791 88.371114) + (xy 159.160154 88.261855) + (xy 159.160152 88.261853) + (xy 159.16015 88.26185) + (xy 159.160146 88.261847) + (xy 159.160144 88.261845) + (xy 159.050885 88.181208) + (xy 159.048352 88.180321) + (xy 159.017264 88.169443) + (xy 158.968585 88.132379) + (xy 158.950988 88.073779) + (xy 158.971196 88.016027) + (xy 159.02149 87.981183) + (xy 159.049963 87.977) + (xy 159.506135 87.977) + (xy 159.564326 87.995907) + (xy 159.60029 88.045407) + (xy 159.604702 88.066753) + (xy 159.605353 88.073694) + (xy 159.605355 88.073703) + (xy 159.650207 88.201883) + (xy 159.730845 88.311144) + (xy 159.730847 88.311146) + (xy 159.73085 88.31115) + (xy 159.730853 88.311152) + (xy 159.730855 88.311154) + (xy 159.840116 88.391792) + (xy 159.840117 88.391792) + (xy 159.840118 88.391793) + (xy 159.968301 88.436646) + (xy 159.998725 88.439499) + (xy 159.998727 88.4395) + (xy 159.998734 88.4395) + (xy 161.057273 88.4395) + (xy 161.057273 88.439499) + (xy 161.087699 88.436646) + (xy 161.215882 88.391793) + (xy 161.32515 88.31115) + (xy 161.405793 88.201882) + (xy 161.449349 88.077407) + (xy 161.450556 88.073957) + (xy 161.487621 88.025277) + (xy 161.546221 88.00768) + (xy 161.603973 88.027888) + (xy 161.637444 88.073957) + (xy 161.682207 88.201883) + (xy 161.762845 88.311144) + (xy 161.762847 88.311146) + (xy 161.76285 88.31115) + (xy 161.762853 88.311152) + (xy 161.762855 88.311154) + (xy 161.872116 88.391792) + (xy 161.872117 88.391792) + (xy 161.872118 88.391793) + (xy 162.000301 88.436646) + (xy 162.030725 88.439499) + (xy 162.030727 88.4395) + (xy 162.030734 88.4395) + (xy 163.089273 88.4395) + (xy 163.089273 88.439499) + (xy 163.119699 88.436646) + (xy 163.247882 88.391793) + (xy 163.35715 88.31115) + (xy 163.437793 88.201882) + (xy 163.482646 88.073699) + (xy 163.484072 88.058498) + (xy 163.485314 88.045256) + (xy 163.509572 87.989085) + (xy 163.562214 87.9579) + (xy 163.583881 87.9555) + (xy 164.958008 87.9555) + (xy 165.016199 87.974407) + (xy 165.051452 88.021802) + (xy 165.069882 88.074472) + (xy 165.069885 88.074478) + (xy 165.139974 88.169445) + (xy 165.148289 88.180711) + (xy 165.148292 88.180713) + (xy 165.148293 88.180714) + (xy 165.254523 88.259115) + (xy 165.254524 88.259115) + (xy 165.254525 88.259116) + (xy 165.379151 88.302725) + (xy 165.406441 88.305284) + (xy 165.408733 88.305499) + (xy 165.408738 88.3055) + (xy 165.408744 88.3055) + (xy 166.014262 88.3055) + (xy 166.014265 88.305499) + (xy 166.043849 88.302725) + (xy 166.168475 88.259116) + (xy 166.212212 88.226836) + (xy 166.270259 88.207495) + (xy 166.32859 88.225966) + (xy 166.364923 88.275196) + (xy 166.37 88.306492) + (xy 166.37 95.25) + (xy 143.874327 95.25) + (xy 142.0962 93.471874) + (xy 140.30183 91.677504) + (xy 140.274053 91.622987) + (xy 140.283624 91.562555) + (xy 140.326889 91.51929) + (xy 140.371834 91.5085) + (xy 142.141747 91.5085) + (xy 142.141748 91.5085) + (xy 142.200231 91.496867) + (xy 142.266552 91.452552) + (xy 142.310867 91.386231) + (xy 142.3225 91.327748) + (xy 142.3225 89.8325) + (xy 142.341407 89.774309) + (xy 142.390907 89.738345) + (xy 142.4215 89.7335) + (xy 146.1225 89.7335) + (xy 146.180691 89.752407) + (xy 146.216655 89.801907) + (xy 146.2215 89.8325) + (xy 146.2215 90.177746) + (xy 146.221501 90.177758) + (xy 146.233132 90.236227) + (xy 146.233134 90.236233) + (xy 146.248531 90.259276) + (xy 146.277448 90.302552) + (xy 146.343769 90.346867) + (xy 146.388231 90.355711) + (xy 146.402241 90.358498) + (xy 146.402246 90.358498) + (xy 146.402252 90.3585) + (xy 146.402253 90.3585) + (xy 148.441747 90.3585) + (xy 148.441748 90.3585) + (xy 148.500231 90.346867) + (xy 148.566552 90.302552) + (xy 148.610867 90.236231) + (xy 148.6225 90.177748) + (xy 148.6225 88.708833) + (xy 148.641407 88.650642) + (xy 148.651496 88.638829) + (xy 150.055083 87.235242) + (xy 150.05826 87.232329) + (xy 150.089694 87.205955) + (xy 150.110214 87.170411) + (xy 150.112512 87.166803) + (xy 150.136054 87.133184) + (xy 150.136441 87.131736) + (xy 150.146338 87.107845) + (xy 150.147088 87.106545) + (xy 150.153964 87.067545) + (xy 150.15421 87.06615) + (xy 150.15514 87.061952) + (xy 150.165764 87.022307) + (xy 150.162187 86.981422) + (xy 150.162 86.977123) + (xy 150.162 86.851365) + (xy 150.180907 86.793174) + (xy 150.2283 86.757921) + (xy 150.293475 86.735116) + (xy 150.399711 86.656711) + (xy 150.478116 86.550475) + (xy 150.521725 86.425849) + (xy 150.5245 86.396256) + (xy 150.5245 85.815744) + (xy 150.5245 85.815743) + (xy 150.5245 85.815738) + (xy 150.524499 85.815733) + (xy 150.521725 85.786155) + (xy 150.521725 85.786151) + (xy 150.478116 85.661525) + (xy 150.419027 85.581462) + (xy 150.399714 85.555293) + (xy 150.399713 85.555292) + (xy 150.399711 85.555289) + (xy 150.399706 85.555285) + (xy 150.293476 85.476884) + (xy 150.168852 85.433276) + (xy 150.168851 85.433275) + (xy 150.168849 85.433275) + (xy 150.168847 85.433274) + (xy 150.168844 85.433274) + (xy 150.139266 85.4305) + (xy 150.139256 85.4305) + (xy 149.533744 85.4305) + (xy 149.533733 85.4305) + (xy 149.504155 85.433274) + (xy 149.504147 85.433276) + (xy 149.379523 85.476884) + (xy 149.273293 85.555285) + (xy 149.273285 85.555293) + (xy 149.194884 85.661523) + (xy 149.151276 85.786147) + (xy 149.151274 85.786155) + (xy 149.1485 85.815733) + (xy 149.1485 86.396266) + (xy 149.151274 86.425844) + (xy 149.151276 86.425852) + (xy 149.194884 86.550476) + (xy 149.273285 86.656706) + (xy 149.273289 86.656711) + (xy 149.273292 86.656713) + (xy 149.273293 86.656714) + (xy 149.360941 86.721401) + (xy 149.379525 86.735116) + (xy 149.419734 86.749186) + (xy 149.468413 86.786249) + (xy 149.486011 86.844849) + (xy 149.465804 86.902601) + (xy 149.45704 86.912633) + (xy 148.791504 87.57817) + (xy 148.736987 87.605947) + (xy 148.676555 87.596376) + (xy 148.63329 87.553111) + (xy 148.6225 87.508166) + (xy 148.6225 86.338253) + (xy 148.622498 86.338241) + (xy 148.613522 86.293117) + (xy 148.610867 86.279769) + (xy 148.566552 86.213448) + (xy 148.553514 86.204736) + (xy 148.500233 86.169134) + (xy 148.500231 86.169133) + (xy 148.500228 86.169132) + (xy 148.500227 86.169132) + (xy 148.441758 86.157501) + (xy 148.441748 86.1575) + (xy 148.441747 86.1575) + (xy 148.019283 86.1575) + (xy 147.961092 86.138593) + (xy 147.925128 86.089093) + (xy 147.92113 86.071422) + (xy 147.905044 85.949241) + (xy 147.905044 85.949238) + (xy 147.849749 85.815744) + (xy 147.844537 85.803161) + (xy 147.844537 85.80316) + (xy 147.748286 85.677723) + (xy 147.748285 85.677722) + (xy 147.748282 85.677718) + (xy 147.748277 85.677714) + (xy 147.748276 85.677713) + (xy 147.622838 85.581462) + (xy 147.476766 85.520957) + (xy 147.476758 85.520955) + (xy 147.320001 85.500318) + (xy 147.319999 85.500318) + (xy 147.163241 85.520955) + (xy 147.163233 85.520957) + (xy 147.017161 85.581462) + (xy 147.01716 85.581462) + (xy 146.891723 85.677713) + (xy 146.891713 85.677723) + (xy 146.795462 85.80316) + (xy 146.795462 85.803161) + (xy 146.734957 85.949233) + (xy 146.734955 85.949241) + (xy 146.71887 86.071422) + (xy 146.692529 86.126647) + (xy 146.638758 86.155842) + (xy 146.620717 86.1575) + (xy 146.402252 86.1575) + (xy 146.402251 86.1575) + (xy 146.402241 86.157501) + (xy 146.343772 86.169132) + (xy 146.343766 86.169134) + (xy 146.277451 86.213445) + (xy 146.277445 86.213451) + (xy 146.233134 86.279766) + (xy 146.233132 86.279772) + (xy 146.221501 86.338241) + (xy 146.2215 86.338253) + (xy 146.2215 87.877746) + (xy 146.221501 87.877758) + (xy 146.233132 87.936227) + (xy 146.233134 87.936233) + (xy 146.260374 87.977) + (xy 146.277448 88.002552) + (xy 146.343769 88.046867) + (xy 146.388231 88.055711) + (xy 146.402241 88.058498) + (xy 146.402246 88.058498) + (xy 146.402252 88.0585) + (xy 148.072166 88.0585) + (xy 148.130357 88.077407) + (xy 148.166321 88.126907) + (xy 148.166321 88.188093) + (xy 148.14217 88.227504) + (xy 147.94117 88.428504) + (xy 147.886653 88.456281) + (xy 147.871166 88.4575) + (xy 146.402252 88.4575) + (xy 146.402251 88.4575) + (xy 146.402241 88.457501) + (xy 146.343772 88.469132) + (xy 146.343766 88.469134) + (xy 146.277451 88.513445) + (xy 146.277445 88.513451) + (xy 146.233134 88.579766) + (xy 146.233132 88.579772) + (xy 146.221501 88.638241) + (xy 146.2215 88.638253) + (xy 146.2215 88.9835) + (xy 146.202593 89.041691) + (xy 146.153093 89.077655) + (xy 146.1225 89.0825) + (xy 142.4215 89.0825) + (xy 142.363309 89.063593) + (xy 142.327345 89.014093) + (xy 142.3225 88.9835) + (xy 142.3225 87.488253) + (xy 142.322498 87.488241) + (xy 142.317689 87.464067) + (xy 142.310867 87.429769) + (xy 142.266552 87.363448) + (xy 142.262686 87.357662) + (xy 142.246077 87.298774) + (xy 142.267254 87.24137) + (xy 142.318128 87.207377) + (xy 142.345001 87.20366) + (xy 144.604598 87.20366) + (xy 144.662789 87.222567) + (xy 144.683138 87.242391) + (xy 144.732286 87.306442) + (xy 144.73229 87.306445) + (xy 144.732291 87.306446) + (xy 144.748825 87.319133) + (xy 144.857727 87.402696) + (xy 144.857728 87.402696) + (xy 144.857729 87.402697) + (xy 145.003801 87.463202) + (xy 145.003806 87.463204) + (xy 145.121377 87.478682) + (xy 145.160567 87.483842) + (xy 145.160568 87.483842) + (xy 145.160569 87.483842) + (xy 145.19192 87.479714) + (xy 145.31733 87.463204) + (xy 145.463409 87.402696) + (xy 145.58885 87.306442) + (xy 145.685104 87.181001) + (xy 145.745612 87.034922) + (xy 145.76625 86.87816) + (xy 145.745612 86.721398) + (xy 145.718682 86.656384) + (xy 145.685105 86.575321) + (xy 145.685105 86.57532) + (xy 145.588854 86.449883) + (xy 145.588853 86.449882) + (xy 145.58885 86.449878) + (xy 145.588845 86.449874) + (xy 145.588844 86.449873) + (xy 145.463406 86.353622) + (xy 145.317334 86.293117) + (xy 145.317326 86.293115) + (xy 145.160569 86.272478) + (xy 145.160567 86.272478) + (xy 145.003809 86.293115) + (xy 145.003801 86.293117) + (xy 144.857729 86.353622) + (xy 144.857728 86.353622) + (xy 144.732291 86.449873) + (xy 144.732282 86.449882) + (xy 144.68314 86.513927) + (xy 144.632716 86.548583) + (xy 144.604598 86.55266) + (xy 140.118214 86.55266) + (xy 140.113913 86.552472) + (xy 140.073033 86.548896) + (xy 140.073027 86.548896) + (xy 140.033404 86.559513) + (xy 140.029189 86.560447) + (xy 139.9888 86.56757) + (xy 139.988788 86.567574) + (xy 139.98748 86.56833) + (xy 139.963626 86.578211) + (xy 139.962164 86.578602) + (xy 139.962156 86.578606) + (xy 139.928556 86.602132) + (xy 139.92492 86.604448) + (xy 139.916715 86.609185) + (xy 139.889385 86.624965) + (xy 139.863021 86.656384) + (xy 139.860103 86.659568) + (xy 139.481413 87.038259) + (xy 139.478229 87.041176) + (xy 139.446807 87.067542) + (xy 139.446806 87.067544) + (xy 139.426292 87.103075) + (xy 139.423972 87.106716) + (xy 139.400446 87.140316) + (xy 139.400442 87.140324) + (xy 139.400051 87.141786) + (xy 139.39017 87.16564) + (xy 139.389414 87.166948) + (xy 139.38941 87.16696) + (xy 139.382287 87.207349) + (xy 139.381353 87.211564) + (xy 139.370736 87.251187) + (xy 139.370736 87.251193) + (xy 139.374312 87.292072) + (xy 139.3745 87.296373) + (xy 139.3745 91.519625) + (xy 139.374312 91.523927) + (xy 139.37117 91.559844) + (xy 139.370736 91.564806) + (xy 139.381354 91.604436) + (xy 139.382289 91.608652) + (xy 139.389411 91.649045) + (xy 139.390164 91.650348) + (xy 139.400054 91.674224) + (xy 139.400443 91.675679) + (xy 139.400446 91.675684) + (xy 139.423971 91.709281) + (xy 139.426288 91.712918) + (xy 139.427316 91.714698) + (xy 139.446806 91.748455) + (xy 139.478224 91.774818) + (xy 139.48141 91.777737) + (xy 142.953674 95.25) + (xy 142.276767 95.25) + (xy 142.256537 95.201161) + (xy 142.256537 95.20116) + (xy 142.160286 95.075723) + (xy 142.160285 95.075722) + (xy 142.160282 95.075718) + (xy 142.160277 95.075714) + (xy 142.160276 95.075713) + (xy 142.034838 94.979462) + (xy 141.888766 94.918957) + (xy 141.888758 94.918955) + (xy 141.732001 94.898318) + (xy 141.731999 94.898318) + (xy 141.575241 94.918955) + (xy 141.575233 94.918957) + (xy 141.429161 94.979462) + (xy 141.42916 94.979462) + (xy 141.303723 95.075713) + (xy 141.303713 95.075723) + (xy 141.207462 95.20116) + (xy 141.207462 95.201161) + (xy 141.187232 95.25) + (xy 138.529 95.25) + (xy 138.470809 95.231093) + (xy 138.434845 95.181593) + (xy 138.43 95.151) + (xy 138.43 81.633) + (xy 138.448907 81.574809) + (xy 138.498407 81.538845) + (xy 138.529 81.534) + (xy 166.271 81.534) + ) + ) + ) + (zone (net 2) (net_name "GND") (layer "B.Cu") (tstamp badc8dc4-f776-4e03-8d95-72d4b589747a) (hatch edge 0.5) + (priority 1) + (connect_pads (clearance 0)) + (min_thickness 0.15) (filled_areas_thickness no) + (fill yes (thermal_gap 0.4) (thermal_bridge_width 0.4) (island_removal_mode 2) (island_area_min 10)) + (polygon + (pts + (xy 88.264999 65.531693) + (xy 188.594999 65.531693) + (xy 188.848999 170.687693) + (xy 88.518999 170.687693) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 94.646149 131.960813) + (xy 94.669758 131.997249) + (xy 94.685769 132.053522) + (xy 94.685771 132.053527) + (xy 94.784942 132.25269) + (xy 94.784944 132.252693) + (xy 94.868622 132.3635) + (xy 94.919019 132.430236) + (xy 95.083438 132.580124) + (xy 95.272599 132.697247) + (xy 95.412655 132.751505) + (xy 95.473877 132.775223) + (xy 95.48006 132.777618) + (xy 95.698757 132.8185) + (xy 95.921243 132.8185) + (xy 96.13994 132.777618) + (xy 96.347401 132.697247) + (xy 96.536562 132.580124) + (xy 96.700981 132.430236) + (xy 96.835058 132.252689) + (xy 96.934229 132.053528) + (xy 96.950241 131.997249) + (xy 96.979911 131.956236) + (xy 97.021417 131.9435) + (xy 101.098583 131.9435) + (xy 101.146149 131.960813) + (xy 101.169758 131.997249) + (xy 101.185769 132.053522) + (xy 101.185771 132.053527) + (xy 101.284942 132.25269) + (xy 101.284944 132.252693) + (xy 101.368622 132.3635) + (xy 101.419019 132.430236) + (xy 101.583438 132.580124) + (xy 101.772599 132.697247) + (xy 101.912655 132.751505) + (xy 101.973877 132.775223) + (xy 101.98006 132.777618) + (xy 102.198757 132.8185) + (xy 102.421243 132.8185) + (xy 102.63994 132.777618) + (xy 102.847401 132.697247) + (xy 102.847898 132.696938) + (xy 102.848107 132.696895) + (xy 102.850468 132.69572) + (xy 102.850776 132.696338) + (xy 102.897451 132.686607) + (xy 102.939193 132.707519) + (xy 105.659166 135.427493) + (xy 108.372652 138.140979) + (xy 108.374824 138.143349) + (xy 108.401545 138.175194) + (xy 108.437548 138.19598) + (xy 108.440267 138.197713) + (xy 108.465965 138.215706) + (xy 108.477642 138.223883) + (xy 108.506676 138.265348) + (xy 108.502263 138.315775) + (xy 108.46647 138.351567) + (xy 108.435197 138.3585) + (xy 107.477642 138.3585) + (xy 107.430076 138.341187) + (xy 107.418934 138.329548) + (xy 107.362284 138.25572) + (xy 107.362279 138.255715) + (xy 107.236841 138.159464) + (xy 107.090761 138.098955) + (xy 106.934 138.078318) + (xy 106.777239 138.098955) + (xy 106.777238 138.098955) + (xy 106.631158 138.159464) + (xy 106.50572 138.255715) + (xy 106.505715 138.25572) + (xy 106.409464 138.381158) + (xy 106.348955 138.527238) + (xy 106.348955 138.527239) + (xy 106.328318 138.684) + (xy 106.348955 138.84076) + (xy 106.348955 138.840761) + (xy 106.409464 138.986841) + (xy 106.505715 139.112279) + (xy 106.505717 139.112281) + (xy 106.515505 139.119792) + (xy 106.542702 139.162484) + (xy 106.536094 139.21267) + (xy 106.498774 139.246867) + (xy 106.470456 139.2525) + (xy 105.712479 139.2525) + (xy 105.664913 139.235187) + (xy 105.660153 139.230826) + (xy 105.267174 138.837847) + (xy 105.245782 138.791971) + (xy 105.2455 138.785521) + (xy 105.2455 137.256253) + (xy 105.244613 137.251792) + (xy 105.233867 137.197769) + (xy 105.226437 137.18665) + (xy 105.216078 137.171146) + (xy 105.189552 137.131448) + (xy 105.159188 137.111159) + (xy 105.123232 137.087133) + (xy 105.123233 137.087133) + (xy 105.093989 137.081316) + (xy 105.064748 137.0755) + (xy 103.225252 137.0755) + (xy 103.19601 137.081316) + (xy 103.166767 137.087133) + (xy 103.100449 137.131447) + (xy 103.100447 137.131449) + (xy 103.056133 137.197767) + (xy 103.0445 137.256253) + (xy 103.0445 139.095746) + (xy 103.056133 139.154232) + (xy 103.08137 139.192) + (xy 103.100448 139.220552) + (xy 103.12809 139.239022) + (xy 103.166767 139.264866) + (xy 103.166768 139.264866) + (xy 103.166769 139.264867) + (xy 103.225252 139.2765) + (xy 104.754521 139.2765) + (xy 104.802087 139.293813) + (xy 104.806847 139.298174) + (xy 105.084847 139.576174) + (xy 105.106239 139.62205) + (xy 105.093138 139.670945) + (xy 105.051674 139.699979) + (xy 105.032521 139.7025) + (xy 98.662478 139.7025) + (xy 98.614912 139.685187) + (xy 98.610152 139.680826) + (xy 97.767174 138.837848) + (xy 97.745782 138.791972) + (xy 97.7455 138.785522) + (xy 97.7455 138.176003) + (xy 100.499785 138.176003) + (xy 100.518601 138.379076) + (xy 100.518602 138.379082) + (xy 100.574416 138.575244) + (xy 100.574418 138.57525) + (xy 100.665327 138.757821) + (xy 100.665328 138.757822) + (xy 100.665329 138.757825) + (xy 100.788234 138.920578) + (xy 100.788235 138.920579) + (xy 100.860922 138.986841) + (xy 100.938959 139.057981) + (xy 101.112363 139.165348) + (xy 101.302544 139.239024) + (xy 101.503024 139.2765) + (xy 101.503026 139.2765) + (xy 101.706974 139.2765) + (xy 101.706976 139.2765) + (xy 101.907456 139.239024) + (xy 102.097637 139.165348) + (xy 102.271041 139.057981) + (xy 102.421764 138.920579) + (xy 102.482041 138.84076) + (xy 102.54467 138.757825) + (xy 102.54467 138.757824) + (xy 102.544673 138.757821) + (xy 102.635582 138.57525) + (xy 102.691397 138.379083) + (xy 102.693305 138.3585) + (xy 102.710215 138.176003) + (xy 102.710215 138.175996) + (xy 102.691398 137.972923) + (xy 102.691397 137.972917) + (xy 102.654228 137.842284) + (xy 102.635582 137.77675) + (xy 102.544673 137.594179) + (xy 102.54467 137.594175) + (xy 102.54467 137.594174) + (xy 102.421765 137.431421) + (xy 102.421764 137.43142) + (xy 102.271041 137.294019) + (xy 102.271041 137.294018) + (xy 102.097637 137.186652) + (xy 101.955138 137.131448) + (xy 101.907459 137.112977) + (xy 101.907458 137.112976) + (xy 101.907456 137.112976) + (xy 101.819407 137.096516) + (xy 101.706979 137.0755) + (xy 101.706976 137.0755) + (xy 101.503024 137.0755) + (xy 101.50302 137.0755) + (xy 101.353116 137.103522) + (xy 101.302544 137.112976) + (xy 101.302542 137.112976) + (xy 101.30254 137.112977) + (xy 101.112368 137.18665) + (xy 101.112363 137.186652) + (xy 101.112358 137.186654) + (xy 101.112358 137.186655) + (xy 100.938958 137.294019) + (xy 100.938957 137.294019) + (xy 100.788235 137.43142) + (xy 100.788234 137.431421) + (xy 100.665329 137.594174) + (xy 100.665328 137.594177) + (xy 100.574418 137.77675) + (xy 100.574416 137.776755) + (xy 100.518602 137.972917) + (xy 100.518601 137.972923) + (xy 100.499785 138.175996) + (xy 100.499785 138.176003) + (xy 97.7455 138.176003) + (xy 97.7455 137.256253) + (xy 97.744613 137.251792) + (xy 97.733867 137.197769) + (xy 97.726437 137.18665) + (xy 97.716078 137.171146) + (xy 97.689552 137.131448) + (xy 97.659188 137.111159) + (xy 97.623232 137.087133) + (xy 97.623233 137.087133) + (xy 97.593989 137.081316) + (xy 97.564748 137.0755) + (xy 95.725252 137.0755) + (xy 95.69601 137.081316) + (xy 95.666767 137.087133) + (xy 95.600449 137.131447) + (xy 95.600447 137.131449) + (xy 95.556133 137.197767) + (xy 95.5445 137.256253) + (xy 95.5445 139.095746) + (xy 95.556133 139.154232) + (xy 95.58137 139.192) + (xy 95.600448 139.220552) + (xy 95.62809 139.239022) + (xy 95.666767 139.264866) + (xy 95.666768 139.264866) + (xy 95.666769 139.264867) + (xy 95.725252 139.2765) + (xy 97.254522 139.2765) + (xy 97.302088 139.293813) + (xy 97.306848 139.298174) + (xy 98.255647 140.246973) + (xy 98.257819 140.249343) + (xy 98.284545 140.281194) + (xy 98.320546 140.301979) + (xy 98.32327 140.303715) + (xy 98.357314 140.327553) + (xy 98.357315 140.327553) + (xy 98.357316 140.327554) + (xy 98.361945 140.328794) + (xy 98.3798 140.336189) + (xy 98.383955 140.338588) + (xy 98.424895 140.345806) + (xy 98.428037 140.346503) + (xy 98.468194 140.357264) + (xy 98.509611 140.35364) + (xy 98.51283 140.3535) + (xy 101.199012 140.3535) + (xy 101.246578 140.370813) + (xy 101.271888 140.41465) + (xy 101.263098 140.4645) + (xy 101.24406 140.486208) + (xy 101.17172 140.541715) + (xy 101.171715 140.54172) + (xy 101.075464 140.667158) + (xy 101.014955 140.813238) + (xy 101.014955 140.813239) + (xy 100.994318 140.97) + (xy 101.006464 141.062265) + (xy 100.995508 141.111684) + (xy 100.985423 141.124249) + (xy 100.46853 141.641141) + (xy 100.46615 141.643322) + (xy 100.434308 141.670042) + (xy 100.434305 141.670045) + (xy 100.413519 141.706046) + (xy 100.411785 141.708768) + (xy 100.387947 141.742812) + (xy 100.387945 141.742817) + (xy 100.386701 141.747459) + (xy 100.379315 141.76529) + (xy 100.376912 141.769451) + (xy 100.376912 141.769452) + (xy 100.369693 141.810384) + (xy 100.368995 141.813533) + (xy 100.358235 141.853692) + (xy 100.358235 141.853694) + (xy 100.358629 141.85819) + (xy 100.361265 141.888318) + (xy 100.361859 141.895098) + (xy 100.362 141.898325) + (xy 100.362 144.560372) + (xy 100.344687 144.607938) + (xy 100.312441 144.630219) + (xy 100.230526 144.658882) + (xy 100.12429 144.737288) + (xy 100.124288 144.73729) + (xy 100.045882 144.843526) + (xy 100.002276 144.968145) + (xy 100.002275 144.968149) + (xy 100.002275 144.968151) + (xy 99.9995 144.997744) + (xy 99.9995 145.578256) + (xy 100.002275 145.607849) + (xy 100.002275 145.607851) + (xy 100.002276 145.607854) + (xy 100.045882 145.732473) + (xy 100.078211 145.776277) + (xy 100.124289 145.838711) + (xy 100.230525 145.917116) + (xy 100.276024 145.933037) + (xy 100.312441 145.94578) + (xy 100.35162 145.977831) + (xy 100.362 146.015627) + (xy 100.362 149.386372) + (xy 100.344687 149.433938) + (xy 100.312441 149.456219) + (xy 100.230526 149.484882) + (xy 100.12429 149.563288) + (xy 100.124288 149.56329) + (xy 100.045882 149.669526) + (xy 100.002276 149.794145) + (xy 100.002275 149.794149) + (xy 100.002275 149.794151) + (xy 99.9995 149.823744) + (xy 99.9995 150.404256) + (xy 100.002275 150.433849) + (xy 100.002275 150.433851) + (xy 100.002276 150.433854) + (xy 100.045882 150.558473) + (xy 100.080563 150.605464) + (xy 100.124289 150.664711) + (xy 100.230525 150.743116) + (xy 100.304288 150.768927) + (xy 100.312441 150.77178) + (xy 100.35162 150.803831) + (xy 100.362 150.841627) + (xy 100.361999 152.180372) + (xy 100.344686 152.227938) + (xy 100.312441 152.250219) + (xy 100.230525 152.278883) + (xy 100.12429 152.357288) + (xy 100.124288 152.35729) + (xy 100.045882 152.463526) + (xy 100.002276 152.588145) + (xy 100.002275 152.588149) + (xy 100.002275 152.588151) + (xy 99.9995 152.617744) + (xy 99.9995 153.198256) + (xy 100.002275 153.227849) + (xy 100.002275 153.227851) + (xy 100.002276 153.227854) + (xy 100.045882 153.352473) + (xy 100.062594 153.375117) + (xy 100.124289 153.458711) + (xy 100.166007 153.4895) + (xy 100.230526 153.537117) + (xy 100.355145 153.580723) + (xy 100.355151 153.580725) + (xy 100.384744 153.5835) + (xy 100.384751 153.5835) + (xy 100.990249 153.5835) + (xy 100.990256 153.5835) + (xy 101.019849 153.580725) + (xy 101.076532 153.56089) + (xy 101.144473 153.537117) + (xy 101.144473 153.537116) + (xy 101.144475 153.537116) + (xy 101.250711 153.458711) + (xy 101.329116 153.352475) + (xy 101.332006 153.344218) + (xy 101.367115 153.24388) + (xy 101.372725 153.227849) + (xy 101.3755 153.198256) + (xy 101.8245 153.198256) + (xy 101.827275 153.227849) + (xy 101.827275 153.227851) + (xy 101.827276 153.227854) + (xy 101.870882 153.352473) + (xy 101.887594 153.375117) + (xy 101.949289 153.458711) + (xy 101.991007 153.4895) + (xy 102.055526 153.537117) + (xy 102.180145 153.580723) + (xy 102.180151 153.580725) + (xy 102.209744 153.5835) + (xy 102.209751 153.5835) + (xy 102.815249 153.5835) + (xy 102.815256 153.5835) + (xy 102.844849 153.580725) + (xy 102.901532 153.56089) + (xy 102.969473 153.537117) + (xy 102.969473 153.537116) + (xy 102.969475 153.537116) + (xy 103.075711 153.458711) + (xy 103.154116 153.352475) + (xy 103.178406 153.283058) + (xy 103.210457 153.24388) + (xy 103.248253 153.2335) + (xy 103.977358 153.2335) + (xy 104.024924 153.250813) + (xy 104.036066 153.262452) + (xy 104.092715 153.336279) + (xy 104.09272 153.336284) + (xy 104.133075 153.367249) + (xy 104.218159 153.432536) + (xy 104.364238 153.493044) + (xy 104.521 153.513682) + (xy 104.677762 153.493044) + (xy 104.823841 153.432536) + (xy 104.949282 153.336282) + (xy 105.045536 153.210841) + (xy 105.106044 153.064762) + (xy 105.126682 152.908) + (xy 105.106044 152.751238) + (xy 105.045536 152.605159) + (xy 104.990848 152.533888) + (xy 104.949284 152.47972) + (xy 104.949279 152.479715) + (xy 104.823841 152.383464) + (xy 104.677761 152.322955) + (xy 104.521 152.302318) + (xy 104.364239 152.322955) + (xy 104.364238 152.322955) + (xy 104.218158 152.383464) + (xy 104.09272 152.479715) + (xy 104.092715 152.47972) + (xy 104.036066 152.553548) + (xy 103.993375 152.580746) + (xy 103.977358 152.5825) + (xy 103.248253 152.5825) + (xy 103.200687 152.565187) + (xy 103.178406 152.532941) + (xy 103.171511 152.513236) + (xy 103.154116 152.463525) + (xy 103.075711 152.357289) + (xy 103.025135 152.319962) + (xy 102.969473 152.278882) + (xy 102.844854 152.235276) + (xy 102.844855 152.235276) + (xy 102.84485 152.235275) + (xy 102.844849 152.235275) + (xy 102.815256 152.2325) + (xy 102.209744 152.2325) + (xy 102.180151 152.235275) + (xy 102.180149 152.235275) + (xy 102.180145 152.235276) + (xy 102.055526 152.278882) + (xy 101.94929 152.357288) + (xy 101.949288 152.35729) + (xy 101.870882 152.463526) + (xy 101.827276 152.588145) + (xy 101.827275 152.588149) + (xy 101.827275 152.588151) + (xy 101.8245 152.617744) + (xy 101.8245 153.198256) + (xy 101.3755 153.198256) + (xy 101.3755 152.617744) + (xy 101.372725 152.588151) + (xy 101.367808 152.574099) + (xy 101.329117 152.463526) + (xy 101.290804 152.411614) + (xy 101.250711 152.357289) + (xy 101.200135 152.319962) + (xy 101.144473 152.278882) + (xy 101.062559 152.250219) + (xy 101.02338 152.218167) + (xy 101.013 152.180372) + (xy 101.013 150.841627) + (xy 101.030313 150.794061) + (xy 101.062559 150.77178) + (xy 101.066848 150.770278) + (xy 101.144475 150.743116) + (xy 101.250711 150.664711) + (xy 101.329116 150.558475) + (xy 101.330514 150.554482) + (xy 101.358474 150.474575) + (xy 101.372725 150.433849) + (xy 101.3755 150.404256) + (xy 101.3755 149.823744) + (xy 101.372725 149.794151) + (xy 101.360162 149.758249) + (xy 101.329117 149.669526) + (xy 101.292612 149.620063) + (xy 101.250711 149.563289) + (xy 101.200135 149.525962) + (xy 101.144473 149.484882) + (xy 101.062559 149.456219) + (xy 101.02338 149.424167) + (xy 101.013 149.386372) + (xy 101.013 149.2435) + (xy 101.030313 149.195934) + (xy 101.07415 149.170624) + (xy 101.087 149.1695) + (xy 103.8985 149.1695) + (xy 103.946066 149.186813) + (xy 103.971376 149.23065) + (xy 103.9725 149.2435) + (xy 103.9725 149.651756) + (xy 103.975275 149.681349) + (xy 103.975275 149.681351) + (xy 103.975276 149.681354) + (xy 104.018882 149.805973) + (xy 104.032003 149.823751) + (xy 104.097289 149.912211) + (xy 104.151561 149.952265) + (xy 104.203526 149.990617) + (xy 104.328145 150.034223) + (xy 104.328151 150.034225) + (xy 104.357744 150.037) + (xy 104.357751 150.037) + (xy 104.938249 150.037) + (xy 104.938256 150.037) + (xy 104.967849 150.034225) + (xy 105.060845 150.001684) + (xy 105.092473 149.990617) + (xy 105.092473 149.990616) + (xy 105.092475 149.990616) + (xy 105.127124 149.965043) + (xy 105.175675 149.950728) + (xy 105.222071 149.970969) + (xy 105.229775 149.979537) + (xy 105.235716 149.98728) + (xy 105.23572 149.987284) + (xy 105.300512 150.037) + (xy 105.361159 150.083536) + (xy 105.507238 150.144044) + (xy 105.664 150.164682) + (xy 105.820762 150.144044) + (xy 105.966841 150.083536) + (xy 106.092282 149.987282) + (xy 106.188536 149.861841) + (xy 106.249044 149.715762) + (xy 106.269682 149.559) + (xy 106.249044 149.402238) + (xy 106.188536 149.256159) + (xy 106.142324 149.195934) + (xy 106.092284 149.13072) + (xy 106.092279 149.130715) + (xy 105.966841 149.034464) + (xy 105.820761 148.973955) + (xy 105.664 148.953318) + (xy 105.507239 148.973955) + (xy 105.507235 148.973956) + (xy 105.425504 149.00781) + (xy 105.374933 149.010018) + (xy 105.334775 148.979203) + (xy 105.323509 148.946351) + (xy 105.3235 148.946255) + (xy 105.3235 148.946244) + (xy 105.320725 148.916651) + (xy 105.320556 148.916167) + (xy 105.277117 148.792026) + (xy 105.255002 148.762061) + (xy 105.198711 148.685789) + (xy 105.092475 148.607384) + (xy 105.092474 148.607383) + (xy 105.088013 148.604091) + (xy 105.089474 148.60211) + (xy 105.061907 148.571645) + (xy 105.060016 148.521061) + (xy 105.091081 148.481096) + (xy 105.109805 148.472693) + (xy 105.140695 148.463718) + (xy 105.279334 148.381727) + (xy 105.393227 148.267834) + (xy 105.475218 148.129195) + (xy 105.520155 147.974522) + (xy 105.523 147.938382) + (xy 105.523 147.774) + (xy 103.773001 147.774) + (xy 103.773001 147.938381) + (xy 103.775844 147.974522) + (xy 103.820781 148.129195) + (xy 103.902772 148.267834) + (xy 104.016666 148.381728) + (xy 104.020343 148.38458) + (xy 104.019305 148.385918) + (xy 104.047234 148.419917) + (xy 104.046707 148.470533) + (xy 104.013767 148.508968) + (xy 103.977437 148.5185) + (xy 101.087 148.5185) + (xy 101.039434 148.501187) + (xy 101.014124 148.45735) + (xy 101.013 148.4445) + (xy 101.013 147.374) + (xy 103.773 147.374) + (xy 104.448 147.374) + (xy 104.448 146.6365) + (xy 104.847999 146.6365) + (xy 104.847999 147.373999) + (xy 104.848 147.374) + (xy 105.522999 147.374) + (xy 105.522999 147.209618) + (xy 105.520155 147.173477) + (xy 105.475218 147.018804) + (xy 105.393227 146.880165) + (xy 105.279334 146.766272) + (xy 105.140695 146.684281) + (xy 104.98602 146.639344) + (xy 104.986023 146.639344) + (xy 104.949882 146.6365) + (xy 104.847999 146.6365) + (xy 104.448 146.6365) + (xy 104.346118 146.6365) + (xy 104.309977 146.639344) + (xy 104.155304 146.684281) + (xy 104.016665 146.766272) + (xy 103.902772 146.880165) + (xy 103.820781 147.018804) + (xy 103.775844 147.173477) + (xy 103.773 147.209617) + (xy 103.773 147.374) + (xy 101.013 147.374) + (xy 101.013 146.015627) + (xy 101.030313 145.968061) + (xy 101.062559 145.94578) + (xy 101.066848 145.944278) + (xy 101.144475 145.917116) + (xy 101.250711 145.838711) + (xy 101.329116 145.732475) + (xy 101.332021 145.724175) + (xy 101.3573 145.65193) + (xy 101.372725 145.607849) + (xy 101.3755 145.578256) + (xy 101.8245 145.578256) + (xy 101.827275 145.607849) + (xy 101.827275 145.607851) + (xy 101.827276 145.607854) + (xy 101.870882 145.732473) + (xy 101.903211 145.776277) + (xy 101.949289 145.838711) + (xy 101.995809 145.873044) + (xy 102.055526 145.917117) + (xy 102.180145 145.960723) + (xy 102.180151 145.960725) + (xy 102.209744 145.9635) + (xy 102.209751 145.9635) + (xy 102.815249 145.9635) + (xy 102.815256 145.9635) + (xy 102.844849 145.960725) + (xy 102.923976 145.933037) + (xy 102.969473 145.917117) + (xy 102.969473 145.917116) + (xy 102.969475 145.917116) + (xy 103.075711 145.838711) + (xy 103.154116 145.732475) + (xy 103.178406 145.663058) + (xy 103.210457 145.62388) + (xy 103.248253 145.6135) + (xy 104.104358 145.6135) + (xy 104.151924 145.630813) + (xy 104.163066 145.642452) + (xy 104.219715 145.716279) + (xy 104.21972 145.716284) + (xy 104.297899 145.776272) + (xy 104.345159 145.812536) + (xy 104.491238 145.873044) + (xy 104.648 145.893682) + (xy 104.804762 145.873044) + (xy 104.950841 145.812536) + (xy 105.076282 145.716282) + (xy 105.172536 145.590841) + (xy 105.233044 145.444762) + (xy 105.253682 145.288) + (xy 105.233044 145.131238) + (xy 105.172536 144.985159) + (xy 105.1194 144.91591) + (xy 105.076284 144.85972) + (xy 105.076279 144.859715) + (xy 104.950841 144.763464) + (xy 104.804761 144.702955) + (xy 104.648 144.682318) + (xy 104.491239 144.702955) + (xy 104.491238 144.702955) + (xy 104.345158 144.763464) + (xy 104.21972 144.859715) + (xy 104.219715 144.85972) + (xy 104.163066 144.933548) + (xy 104.120375 144.960746) + (xy 104.104358 144.9625) + (xy 103.248253 144.9625) + (xy 103.200687 144.945187) + (xy 103.178406 144.912941) + (xy 103.154116 144.843525) + (xy 103.075711 144.737289) + (xy 103.025135 144.699962) + (xy 102.969473 144.658882) + (xy 102.844854 144.615276) + (xy 102.844855 144.615276) + (xy 102.84485 144.615275) + (xy 102.844849 144.615275) + (xy 102.815256 144.6125) + (xy 102.209744 144.6125) + (xy 102.180151 144.615275) + (xy 102.180149 144.615275) + (xy 102.180145 144.615276) + (xy 102.055526 144.658882) + (xy 101.94929 144.737288) + (xy 101.949288 144.73729) + (xy 101.870882 144.843526) + (xy 101.827276 144.968145) + (xy 101.827275 144.968149) + (xy 101.827275 144.968151) + (xy 101.8245 144.997744) + (xy 101.8245 145.578256) + (xy 101.3755 145.578256) + (xy 101.3755 144.997744) + (xy 101.372725 144.968151) + (xy 101.367761 144.953965) + (xy 101.329117 144.843526) + (xy 101.294436 144.796535) + (xy 101.250711 144.737289) + (xy 101.200135 144.699962) + (xy 101.144473 144.658882) + (xy 101.062558 144.630219) + (xy 101.023379 144.598168) + (xy 101.012999 144.560372) + (xy 101.012999 143.323589) + (xy 101.012999 142.047974) + (xy 101.030312 142.000411) + (xy 101.034651 141.995674) + (xy 101.44575 141.584574) + (xy 101.491625 141.563183) + (xy 101.50773 141.563533) + (xy 101.6 141.575682) + (xy 101.756762 141.555044) + (xy 101.902841 141.494536) + (xy 102.028282 141.398282) + (xy 102.124536 141.272841) + (xy 102.185044 141.126762) + (xy 102.205682 140.97) + (xy 102.185044 140.813238) + (xy 102.124536 140.667159) + (xy 102.074192 140.601549) + (xy 102.028284 140.54172) + (xy 102.028279 140.541715) + (xy 101.95594 140.486208) + (xy 101.928742 140.443517) + (xy 101.935349 140.393331) + (xy 101.972669 140.359133) + (xy 102.000988 140.3535) + (xy 109.661384 140.3535) + (xy 109.70895 140.370813) + (xy 109.73426 140.41465) + (xy 109.72547 140.4645) + (xy 109.698385 140.491585) + (xy 109.684118 140.499822) + (xy 109.684113 140.499826) + (xy 109.657402 140.531657) + (xy 109.655221 140.534038) + (xy 107.826028 142.363231) + (xy 107.823648 142.365412) + (xy 107.791806 142.392132) + (xy 107.791803 142.392135) + (xy 107.771017 142.428136) + (xy 107.769283 142.430858) + (xy 107.745445 142.464902) + (xy 107.745443 142.464907) + (xy 107.744199 142.469549) + (xy 107.736813 142.48738) + (xy 107.73441 142.491541) + (xy 107.73441 142.491542) + (xy 107.727191 142.532474) + (xy 107.726493 142.535623) + (xy 107.715733 142.575782) + (xy 107.715733 142.575786) + (xy 107.719356 142.617189) + (xy 107.719497 142.620415) + (xy 107.719498 147.955866) + (xy 107.704206 148.000914) + (xy 107.679464 148.033158) + (xy 107.618955 148.179238) + (xy 107.618955 148.179239) + (xy 107.598318 148.336) + (xy 107.618955 148.49276) + (xy 107.618955 148.492761) + (xy 107.679464 148.638841) + (xy 107.775715 148.764279) + (xy 107.77572 148.764284) + (xy 107.834813 148.809627) + (xy 107.901159 148.860536) + (xy 108.047238 148.921044) + (xy 108.204 148.941682) + (xy 108.222786 148.939208) + (xy 108.272203 148.950163) + (xy 108.303019 148.990321) + (xy 108.300813 149.040892) + (xy 108.284771 149.064901) + (xy 106.566848 150.782826) + (xy 106.520972 150.804218) + (xy 106.514522 150.8045) + (xy 104.937642 150.8045) + (xy 104.890076 150.787187) + (xy 104.878934 150.775548) + (xy 104.822284 150.70172) + (xy 104.822279 150.701715) + (xy 104.696841 150.605464) + (xy 104.550761 150.544955) + (xy 104.394 150.524318) + (xy 104.237239 150.544955) + (xy 104.237238 150.544955) + (xy 104.091158 150.605464) + (xy 103.96572 150.701715) + (xy 103.965715 150.70172) + (xy 103.909066 150.775548) + (xy 103.866375 150.802746) + (xy 103.850358 150.8045) + (xy 103.693978 150.8045) + (xy 103.646412 150.787187) + (xy 103.641652 150.782826) + (xy 103.222174 150.363348) + (xy 103.200782 150.317472) + (xy 103.2005 150.311022) + (xy 103.2005 149.823751) + (xy 103.2005 149.823744) + (xy 103.197725 149.794151) + (xy 103.185162 149.758249) + (xy 103.154117 149.669526) + (xy 103.117612 149.620063) + (xy 103.075711 149.563289) + (xy 103.025135 149.525962) + (xy 102.969473 149.484882) + (xy 102.844854 149.441276) + (xy 102.844855 149.441276) + (xy 102.84485 149.441275) + (xy 102.844849 149.441275) + (xy 102.815256 149.4385) + (xy 102.209744 149.4385) + (xy 102.180151 149.441275) + (xy 102.180149 149.441275) + (xy 102.180145 149.441276) + (xy 102.055526 149.484882) + (xy 101.94929 149.563288) + (xy 101.949288 149.56329) + (xy 101.870882 149.669526) + (xy 101.827276 149.794145) + (xy 101.827275 149.794149) + (xy 101.827275 149.794151) + (xy 101.8245 149.823744) + (xy 101.8245 150.404256) + (xy 101.827275 150.433849) + (xy 101.827275 150.433851) + (xy 101.827276 150.433854) + (xy 101.870882 150.558473) + (xy 101.905563 150.605464) + (xy 101.949289 150.664711) + (xy 102.020529 150.717288) + (xy 102.055526 150.743117) + (xy 102.180145 150.786723) + (xy 102.180151 150.786725) + (xy 102.209744 150.7895) + (xy 102.697022 150.7895) + (xy 102.744588 150.806813) + (xy 102.749348 150.811174) + (xy 103.287147 151.348973) + (xy 103.289319 151.351343) + (xy 103.316045 151.383194) + (xy 103.352046 151.403979) + (xy 103.35477 151.405715) + (xy 103.388814 151.429553) + (xy 103.388816 151.429554) + (xy 103.393449 151.430795) + (xy 103.411295 151.438186) + (xy 103.415455 151.440588) + (xy 103.415458 151.440588) + (xy 103.415459 151.440589) + (xy 103.415458 151.440589) + (xy 103.456388 151.447806) + (xy 103.459538 151.448504) + (xy 103.499693 151.459264) + (xy 103.541105 151.45564) + (xy 103.544326 151.4555) + (xy 103.850358 151.4555) + (xy 103.897924 151.472813) + (xy 103.909066 151.484452) + (xy 103.965715 151.558279) + (xy 103.96572 151.558284) + (xy 104.017237 151.597814) + (xy 104.091159 151.654536) + (xy 104.237238 151.715044) + (xy 104.394 151.735682) + (xy 104.550762 151.715044) + (xy 104.696841 151.654536) + (xy 104.822282 151.558282) + (xy 104.857454 151.512445) + (xy 104.878934 151.484452) + (xy 104.921625 151.457254) + (xy 104.937642 151.4555) + (xy 106.664174 151.4555) + (xy 106.667394 151.45564) + (xy 106.690074 151.457625) + (xy 106.708805 151.459264) + (xy 106.708805 151.459263) + (xy 106.708807 151.459264) + (xy 106.748967 151.448502) + (xy 106.7521 151.447807) + (xy 106.793045 151.440588) + (xy 106.797194 151.438191) + (xy 106.815055 151.430794) + (xy 106.815059 151.430793) + (xy 106.819684 151.429554) + (xy 106.853732 151.405712) + (xy 106.85645 151.40398) + (xy 106.892455 151.383194) + (xy 106.919187 151.351334) + (xy 106.921352 151.348973) + (xy 110.541879 147.728447) + (xy 110.587754 147.707056) + (xy 110.636649 147.720157) + (xy 110.665683 147.761621) + (xy 110.667881 147.787678) + (xy 110.6675 147.791736) + (xy 110.6675 147.791744) + (xy 110.6675 148.372256) + (xy 110.670275 148.401849) + (xy 110.670275 148.401851) + (xy 110.670276 148.401854) + (xy 110.713882 148.526473) + (xy 110.724369 148.540682) + (xy 110.792289 148.632711) + (xy 110.898525 148.711116) + (xy 110.955221 148.730955) + (xy 110.980441 148.73978) + (xy 111.01962 148.771831) + (xy 111.03 148.809627) + (xy 111.03 148.878372) + (xy 111.012687 148.925938) + (xy 110.980441 148.948219) + (xy 110.898526 148.976882) + (xy 110.79229 149.055288) + (xy 110.792288 149.05529) + (xy 110.713882 149.161526) + (xy 110.670276 149.286145) + (xy 110.670275 149.286149) + (xy 110.670275 149.286151) + (xy 110.6675 149.315744) + (xy 110.6675 149.896256) + (xy 110.670275 149.925849) + (xy 110.670275 149.925851) + (xy 110.670276 149.925854) + (xy 110.713882 150.050473) + (xy 110.747024 150.095379) + (xy 110.792289 150.156711) + (xy 110.819066 150.176473) + (xy 110.898526 150.235117) + (xy 111.023145 150.278723) + (xy 111.023151 150.278725) + (xy 111.052744 150.2815) + (xy 111.052751 150.2815) + (xy 111.658249 150.2815) + (xy 111.658256 150.2815) + (xy 111.687849 150.278725) + (xy 111.744532 150.25889) + (xy 111.812473 150.235117) + (xy 111.812473 150.235116) + (xy 111.812475 150.235116) + (xy 111.918711 150.156711) + (xy 111.997116 150.050475) + (xy 112.040725 149.925849) + (xy 112.0435 149.896256) + (xy 112.0435 149.806) + (xy 112.293001 149.806) + (xy 112.293001 149.907881) + (xy 112.295844 149.944022) + (xy 112.340781 150.098695) + (xy 112.422772 150.237334) + (xy 112.536665 150.351227) + (xy 112.675304 150.433218) + (xy 112.829979 150.478155) + (xy 112.829976 150.478155) + (xy 112.866117 150.480999) + (xy 112.9805 150.480999) + (xy 112.9805 149.806) + (xy 113.3805 149.806) + (xy 113.3805 150.480999) + (xy 113.494882 150.480999) + (xy 113.531022 150.478155) + (xy 113.685695 150.433218) + (xy 113.824334 150.351227) + (xy 113.938227 150.237334) + (xy 114.020218 150.098695) + (xy 114.065155 149.944022) + (xy 114.068 149.907882) + (xy 114.068 149.806) + (xy 113.3805 149.806) + (xy 112.9805 149.806) + (xy 112.293001 149.806) + (xy 112.0435 149.806) + (xy 112.0435 149.315744) + (xy 112.040725 149.286151) + (xy 112.030002 149.255507) + (xy 111.997117 149.161526) + (xy 111.968795 149.123151) + (xy 111.918711 149.055289) + (xy 111.868135 149.017962) + (xy 111.812473 148.976882) + (xy 111.730559 148.948219) + (xy 111.69138 148.916167) + (xy 111.681 148.878372) + (xy 111.681 148.809627) + (xy 111.698313 148.762061) + (xy 111.730559 148.73978) + (xy 111.739192 148.736759) + (xy 111.812475 148.711116) + (xy 111.918711 148.632711) + (xy 111.997116 148.526475) + (xy 111.997313 148.525914) + (xy 112.032602 148.425062) + (xy 112.040725 148.401849) + (xy 112.0435 148.372256) + (xy 112.0435 147.884978) + (xy 112.060813 147.837412) + (xy 112.065174 147.832652) + (xy 112.542653 147.355174) + (xy 112.58853 147.333782) + (xy 112.594979 147.3335) + (xy 112.660401 147.3335) + (xy 112.707967 147.350813) + (xy 112.733277 147.39465) + (xy 112.724487 147.4445) + (xy 112.704344 147.46704) + (xy 112.61729 147.531288) + (xy 112.617288 147.53129) + (xy 112.538882 147.637526) + (xy 112.495276 147.762145) + (xy 112.495275 147.762149) + (xy 112.495275 147.762151) + (xy 112.4925 147.791744) + (xy 112.4925 148.372256) + (xy 112.495275 148.401849) + (xy 112.495275 148.401851) + (xy 112.495276 148.401854) + (xy 112.538882 148.526473) + (xy 112.549369 148.540682) + (xy 112.617289 148.632711) + (xy 112.663065 148.666495) + (xy 112.691056 148.708669) + (xy 112.685389 148.75897) + (xy 112.656792 148.789729) + (xy 112.536664 148.860773) + (xy 112.422772 148.974665) + (xy 112.340781 149.113304) + (xy 112.295844 149.267977) + (xy 112.293 149.304117) + (xy 112.293 149.406) + (xy 114.067999 149.406) + (xy 114.067999 149.304118) + (xy 114.065155 149.267977) + (xy 114.020218 149.113304) + (xy 113.938227 148.974665) + (xy 113.824334 148.860772) + (xy 113.704208 148.78973) + (xy 113.672079 148.750615) + (xy 113.672609 148.699999) + (xy 113.697935 148.666495) + (xy 113.703304 148.662532) + (xy 113.743711 148.632711) + (xy 113.822116 148.526475) + (xy 113.822313 148.525914) + (xy 113.857602 148.425062) + (xy 113.865725 148.401849) + (xy 113.8685 148.372256) + (xy 113.8685 148.318669) + (xy 113.885813 148.271103) + (xy 113.92965 148.245793) + (xy 113.9795 148.254583) + (xy 113.987536 148.259952) + (xy 114.043469 148.302871) + (xy 114.189548 148.363379) + (xy 114.34631 148.384017) + (xy 114.503072 148.363379) + (xy 114.649151 148.302871) + (xy 114.774592 148.206617) + (xy 114.81993 148.147531) + (xy 114.831244 148.132787) + (xy 114.873935 148.105589) + (xy 114.889952 148.103835) + (xy 115.544076 148.103835) + (xy 115.591642 148.121148) + (xy 115.616952 148.164985) + (xy 115.608162 148.214835) + (xy 115.602784 148.222883) + (xy 115.553464 148.287158) + (xy 115.492955 148.433238) + (xy 115.492955 148.433239) + (xy 115.472318 148.59) + (xy 115.492955 148.74676) + (xy 115.492955 148.746761) + (xy 115.539043 148.858025) + (xy 115.541251 148.908596) + (xy 115.523002 148.93867) + (xy 109.106848 155.354826) + (xy 109.060972 155.376218) + (xy 109.054522 155.3765) + (xy 99.987479 155.3765) + (xy 99.939913 155.359187) + (xy 99.935153 155.354826) + (xy 95.597174 151.016847) + (xy 95.575782 150.970971) + (xy 95.5755 150.964521) + (xy 95.5755 141.281531) + (xy 95.575641 141.278304) + (xy 95.575937 141.274928) + (xy 95.579264 141.236899) + (xy 95.568502 141.196739) + (xy 95.567806 141.193595) + (xy 95.560589 141.152664) + (xy 95.560588 141.152662) + (xy 95.560588 141.152661) + (xy 95.558186 141.148501) + (xy 95.550795 141.130655) + (xy 95.549554 141.126022) + (xy 95.544181 141.118349) + (xy 95.525715 141.091976) + (xy 95.523979 141.089252) + (xy 95.503193 141.053249) + (xy 95.471347 141.026527) + (xy 95.468966 141.024346) + (xy 93.825283 139.380663) + (xy 93.803891 139.334787) + (xy 93.816992 139.285892) + (xy 93.858456 139.256858) + (xy 93.891203 139.255597) + (xy 94.003024 139.2765) + (xy 94.003026 139.2765) + (xy 94.206974 139.2765) + (xy 94.206976 139.2765) + (xy 94.407456 139.239024) + (xy 94.597637 139.165348) + (xy 94.771041 139.057981) + (xy 94.921764 138.920579) + (xy 94.982041 138.84076) + (xy 95.04467 138.757825) + (xy 95.04467 138.757824) + (xy 95.044673 138.757821) + (xy 95.135582 138.57525) + (xy 95.191397 138.379083) + (xy 95.193305 138.3585) + (xy 95.210215 138.176003) + (xy 95.210215 138.175996) + (xy 95.191398 137.972923) + (xy 95.191397 137.972917) + (xy 95.154228 137.842284) + (xy 95.135582 137.77675) + (xy 95.044673 137.594179) + (xy 95.04467 137.594175) + (xy 95.04467 137.594174) + (xy 94.921765 137.431421) + (xy 94.921764 137.43142) + (xy 94.771041 137.294019) + (xy 94.77104 137.294018) + (xy 94.597637 137.186652) + (xy 94.455138 137.131448) + (xy 94.407459 137.112977) + (xy 94.407458 137.112976) + (xy 94.407456 137.112976) + (xy 94.319407 137.096516) + (xy 94.206979 137.0755) + (xy 94.206976 137.0755) + (xy 94.003024 137.0755) + (xy 94.00302 137.0755) + (xy 93.853116 137.103522) + (xy 93.802544 137.112976) + (xy 93.802542 137.112976) + (xy 93.80254 137.112977) + (xy 93.612368 137.18665) + (xy 93.612363 137.186652) + (xy 93.612358 137.186654) + (xy 93.612358 137.186655) + (xy 93.438958 137.294019) + (xy 93.438957 137.294019) + (xy 93.288235 137.43142) + (xy 93.288234 137.431421) + (xy 93.165329 137.594174) + (xy 93.165328 137.594177) + (xy 93.074418 137.77675) + (xy 93.074416 137.776755) + (xy 93.018602 137.972917) + (xy 93.018601 137.972923) + (xy 92.999785 138.175996) + (xy 92.999785 138.176003) + (xy 93.018601 138.379076) + (xy 93.019231 138.382441) + (xy 93.017758 138.382716) + (xy 93.014491 138.427942) + (xy 92.979244 138.464273) + (xy 92.92889 138.469447) + (xy 92.894633 138.450013) + (xy 92.619813 138.175193) + (xy 92.315172 137.870552) + (xy 92.293781 137.824678) + (xy 92.2935 137.81825) + (xy 92.2935 133.214977) + (xy 92.310813 133.167412) + (xy 92.35465 133.142102) + (xy 92.4045 133.150892) + (xy 92.419826 133.162652) + (xy 92.520826 133.263652) + (xy 92.542218 133.309528) + (xy 92.5425 133.315977) + (xy 92.5425 133.803256) + (xy 92.545275 133.832849) + (xy 92.545275 133.832851) + (xy 92.545276 133.832854) + (xy 92.588882 133.957473) + (xy 92.607218 133.982317) + (xy 92.667289 134.063711) + (xy 92.745694 134.121576) + (xy 92.773526 134.142117) + (xy 92.898145 134.185723) + (xy 92.898151 134.185725) + (xy 92.927744 134.1885) + (xy 92.927751 134.1885) + (xy 93.508249 134.1885) + (xy 93.508256 134.1885) + (xy 93.537849 134.185725) + (xy 93.608963 134.160841) + (xy 93.662473 134.142117) + (xy 93.662473 134.142116) + (xy 93.662475 134.142116) + (xy 93.768711 134.063711) + (xy 93.847116 133.957475) + (xy 93.875779 133.875559) + (xy 93.907831 133.83638) + (xy 93.945627 133.826) + (xy 94.727022 133.826) + (xy 94.774588 133.843313) + (xy 94.779348 133.847674) + (xy 95.397423 134.465749) + (xy 95.418815 134.511625) + (xy 95.418464 134.527733) + (xy 95.406318 134.619999) + (xy 95.426955 134.77676) + (xy 95.426955 134.776761) + (xy 95.487464 134.922841) + (xy 95.583715 135.048279) + (xy 95.58372 135.048284) + (xy 95.667345 135.112451) + (xy 95.709159 135.144536) + (xy 95.855238 135.205044) + (xy 96.012 135.225682) + (xy 96.168762 135.205044) + (xy 96.314841 135.144536) + (xy 96.440282 135.048282) + (xy 96.536536 134.922841) + (xy 96.597044 134.776762) + (xy 96.617682 134.62) + (xy 96.597044 134.463238) + (xy 96.536536 134.317159) + (xy 96.440282 134.191718) + (xy 96.440279 134.191715) + (xy 96.314841 134.095464) + (xy 96.168761 134.034955) + (xy 96.021031 134.015507) + (xy 96.012 134.014318) + (xy 96.011999 134.014318) + (xy 95.919733 134.026464) + (xy 95.870314 134.015507) + (xy 95.857749 134.005423) + (xy 95.133857 133.281531) + (xy 95.131676 133.279151) + (xy 95.124227 133.270274) + (xy 95.104955 133.247306) + (xy 95.087588 133.237278) + (xy 95.068958 133.226522) + (xy 95.066236 133.224788) + (xy 95.032185 133.200946) + (xy 95.032182 133.200945) + (xy 95.027547 133.199703) + (xy 95.0097 133.192311) + (xy 95.005544 133.189911) + (xy 94.964614 133.182693) + (xy 94.961464 133.181995) + (xy 94.921306 133.171235) + (xy 94.921305 133.171235) + (xy 94.888318 133.174122) + (xy 94.879894 133.174859) + (xy 94.876674 133.175) + (xy 93.945627 133.175) + (xy 93.898061 133.157687) + (xy 93.87578 133.125441) + (xy 93.847116 133.043526) + (xy 93.847116 133.043525) + (xy 93.768711 132.937289) + (xy 93.718134 132.899962) + (xy 93.662473 132.858882) + (xy 93.537854 132.815276) + (xy 93.537855 132.815276) + (xy 93.53785 132.815275) + (xy 93.537849 132.815275) + (xy 93.508256 132.8125) + (xy 93.50825 132.8125) + (xy 93.020978 132.8125) + (xy 92.973412 132.795187) + (xy 92.968652 132.790826) + (xy 92.765174 132.587347) + (xy 92.743782 132.54147) + (xy 92.7435 132.535021) + (xy 92.7435 132.410903) + (xy 92.760813 132.363337) + (xy 92.80465 132.338027) + (xy 92.84194 132.341055) + (xy 92.861666 132.347958) + (xy 92.898146 132.360724) + (xy 92.898148 132.360724) + (xy 92.898151 132.360725) + (xy 92.927744 132.3635) + (xy 92.927751 132.3635) + (xy 93.508249 132.3635) + (xy 93.508256 132.3635) + (xy 93.537849 132.360725) + (xy 93.594532 132.34089) + (xy 93.662473 132.317117) + (xy 93.662473 132.317116) + (xy 93.662475 132.317116) + (xy 93.768711 132.238711) + (xy 93.847116 132.132475) + (xy 93.890725 132.007849) + (xy 93.890725 132.007841) + (xy 93.891684 132.003457) + (xy 93.893647 132.003885) + (xy 93.912138 131.964857) + (xy 93.958143 131.943744) + (xy 93.964144 131.9435) + (xy 94.598583 131.9435) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 119.720427 148.121148) + (xy 119.725187 148.125509) + (xy 121.305427 149.705749) + (xy 121.326819 149.751625) + (xy 121.326468 149.767733) + (xy 121.314322 149.859999) + (xy 121.314322 149.86) + (xy 121.326468 149.952265) + (xy 121.315512 150.001684) + (xy 121.305427 150.014249) + (xy 117.996852 153.322826) + (xy 117.950976 153.344218) + (xy 117.944526 153.3445) + (xy 116.601814 153.3445) + (xy 116.598593 153.344359) + (xy 116.589597 153.343572) + (xy 116.557194 153.340736) + (xy 116.557191 153.340736) + (xy 116.554014 153.341588) + (xy 116.503588 153.337172) + (xy 116.467798 153.301375) + (xy 116.463391 153.250949) + (xy 116.48254 153.217784) + (xy 117.70175 151.998574) + (xy 117.747625 151.977183) + (xy 117.76373 151.977533) + (xy 117.856 151.989682) + (xy 118.012762 151.969044) + (xy 118.158841 151.908536) + (xy 118.284282 151.812282) + (xy 118.380536 151.686841) + (xy 118.441044 151.540762) + (xy 118.461682 151.384) + (xy 118.441044 151.227238) + (xy 118.380536 151.081159) + (xy 118.310727 150.990182) + (xy 118.284284 150.95572) + (xy 118.284279 150.955715) + (xy 118.158841 150.859464) + (xy 118.012761 150.798955) + (xy 117.856 150.778318) + (xy 117.699239 150.798955) + (xy 117.699235 150.798956) + (xy 117.691972 150.801965) + (xy 117.641401 150.804171) + (xy 117.601244 150.773355) + (xy 117.590289 150.723935) + (xy 117.604949 150.688548) + (xy 117.618535 150.670842) + (xy 117.618534 150.670842) + (xy 117.618536 150.670841) + (xy 117.679044 150.524762) + (xy 117.699682 150.368) + (xy 117.679044 150.211238) + (xy 117.618536 150.065159) + (xy 117.581398 150.01676) + (xy 117.522284 149.93972) + (xy 117.522279 149.939715) + (xy 117.39684 149.843463) + (xy 117.29521 149.801367) + (xy 117.257889 149.76717) + (xy 117.251282 149.716984) + (xy 117.278479 149.674292) + (xy 117.29521 149.664633) + (xy 117.322324 149.653402) + (xy 117.396841 149.622536) + (xy 117.522282 149.526282) + (xy 117.618536 149.400841) + (xy 117.679044 149.254762) + (xy 117.699682 149.098) + (xy 117.679044 148.941238) + (xy 117.618536 148.795159) + (xy 117.566083 148.726801) + (xy 117.522284 148.66972) + (xy 117.522279 148.669715) + (xy 117.396841 148.573464) + (xy 117.250761 148.512955) + (xy 117.094 148.492318) + (xy 116.937239 148.512955) + (xy 116.937238 148.512955) + (xy 116.791157 148.573464) + (xy 116.786957 148.57589) + (xy 116.785958 148.57416) + (xy 116.744964 148.587079) + (xy 116.698201 148.567701) + (xy 116.674836 148.522809) + (xy 116.671989 148.501187) + (xy 116.663044 148.433238) + (xy 116.602536 148.287159) + (xy 116.570795 148.245793) + (xy 116.553216 148.222883) + (xy 116.537994 148.174607) + (xy 116.557366 148.127841) + (xy 116.602265 148.104468) + (xy 116.611924 148.103835) + (xy 119.672861 148.103835) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 94.646149 123.410813) + (xy 94.669758 123.447249) + (xy 94.685059 123.501028) + (xy 94.685771 123.503528) + (xy 94.716006 123.564247) + (xy 94.784942 123.70269) + (xy 94.784944 123.702693) + (xy 94.854832 123.795239) + (xy 94.919019 123.880236) + (xy 95.083438 124.030124) + (xy 95.272599 124.147247) + (xy 95.422572 124.205347) + (xy 95.473139 124.224937) + (xy 95.48006 124.227618) + (xy 95.698757 124.2685) + (xy 95.921243 124.2685) + (xy 96.13994 124.227618) + (xy 96.347401 124.147247) + (xy 96.536562 124.030124) + (xy 96.700981 123.880236) + (xy 96.835058 123.702689) + (xy 96.934229 123.503528) + (xy 96.950241 123.447249) + (xy 96.979911 123.406236) + (xy 97.021417 123.3935) + (xy 101.098583 123.3935) + (xy 101.146149 123.410813) + (xy 101.169758 123.447249) + (xy 101.185059 123.501028) + (xy 101.185771 123.503528) + (xy 101.216006 123.564247) + (xy 101.284942 123.70269) + (xy 101.284944 123.702693) + (xy 101.354832 123.795239) + (xy 101.419019 123.880236) + (xy 101.583438 124.030124) + (xy 101.772599 124.147247) + (xy 101.922572 124.205347) + (xy 101.973139 124.224937) + (xy 101.98006 124.227618) + (xy 102.198757 124.2685) + (xy 102.421243 124.2685) + (xy 102.63994 124.227618) + (xy 102.847401 124.147247) + (xy 102.847898 124.146938) + (xy 102.848107 124.146895) + (xy 102.850468 124.14572) + (xy 102.850776 124.146338) + (xy 102.897451 124.136607) + (xy 102.939194 124.15752) + (xy 105.353826 126.572152) + (xy 105.375218 126.618028) + (xy 105.3755 126.624478) + (xy 105.375499 132.618478) + (xy 105.375358 132.621704) + (xy 105.371735 132.663109) + (xy 105.371735 132.663111) + (xy 105.382495 132.703269) + (xy 105.383193 132.706419) + (xy 105.390411 132.747349) + (xy 105.392811 132.751505) + (xy 105.400203 132.769352) + (xy 105.401445 132.773987) + (xy 105.401446 132.77399) + (xy 105.425288 132.808041) + (xy 105.427022 132.810763) + (xy 105.435812 132.825986) + (xy 105.447806 132.84676) + (xy 105.447808 132.846762) + (xy 105.447807 132.846762) + (xy 105.462252 132.858882) + (xy 105.479658 132.873487) + (xy 105.482027 132.875658) + (xy 109.223342 136.616973) + (xy 109.225514 136.619343) + (xy 109.25224 136.651194) + (xy 109.288241 136.671979) + (xy 109.290965 136.673715) + (xy 109.325009 136.697553) + (xy 109.32501 136.697553) + (xy 109.325011 136.697554) + (xy 109.32964 136.698794) + (xy 109.347495 136.706189) + (xy 109.35165 136.708588) + (xy 109.39259 136.715806) + (xy 109.395732 136.716503) + (xy 109.435889 136.727264) + (xy 109.477306 136.72364) + (xy 109.480525 136.7235) + (xy 116.937827 136.7235) + (xy 116.985393 136.740813) + (xy 116.990153 136.745174) + (xy 119.68567 139.440692) + (xy 119.707062 139.486568) + (xy 119.693961 139.535463) + (xy 119.652497 139.564497) + (xy 119.623686 139.566385) + (xy 119.6049 139.563912) + (xy 119.453493 139.583844) + (xy 119.404074 139.572888) + (xy 119.391509 139.562803) + (xy 117.531737 137.703031) + (xy 117.529556 137.700651) + (xy 117.512163 137.679923) + (xy 117.502835 137.668806) + (xy 117.485468 137.658778) + (xy 117.466838 137.648022) + (xy 117.464116 137.646288) + (xy 117.430065 137.622446) + (xy 117.430062 137.622445) + (xy 117.425427 137.621203) + (xy 117.40758 137.613811) + (xy 117.403424 137.611411) + (xy 117.362494 137.604193) + (xy 117.359344 137.603495) + (xy 117.319186 137.592735) + (xy 117.319185 137.592735) + (xy 117.286198 137.595622) + (xy 117.277774 137.596359) + (xy 117.274554 137.5965) + (xy 108.779479 137.5965) + (xy 108.731913 137.579187) + (xy 108.727153 137.574826) + (xy 103.395962 132.243635) + (xy 103.37457 132.197759) + (xy 103.382046 132.158324) + (xy 103.434229 132.053528) + (xy 103.495115 131.839536) + (xy 103.515643 131.618) + (xy 103.495115 131.396464) + (xy 103.434229 131.182472) + (xy 103.335058 130.983311) + (xy 103.335057 130.98331) + (xy 103.335057 130.983309) + (xy 103.335055 130.983306) + (xy 103.200983 130.805767) + (xy 103.200981 130.805764) + (xy 103.036562 130.655876) + (xy 102.847401 130.538753) + (xy 102.786168 130.515031) + (xy 102.639942 130.458382) + (xy 102.421246 130.4175) + (xy 102.421243 130.4175) + (xy 102.198757 130.4175) + (xy 102.198753 130.4175) + (xy 101.980057 130.458382) + (xy 101.772604 130.538751) + (xy 101.772599 130.538753) + (xy 101.772594 130.538755) + (xy 101.772594 130.538756) + (xy 101.58344 130.655874) + (xy 101.419016 130.805767) + (xy 101.284944 130.983306) + (xy 101.284942 130.983309) + (xy 101.185771 131.182472) + (xy 101.185769 131.182477) + (xy 101.169758 131.238751) + (xy 101.140089 131.279764) + (xy 101.098583 131.2925) + (xy 97.021417 131.2925) + (xy 96.973851 131.275187) + (xy 96.950242 131.238751) + (xy 96.939915 131.202456) + (xy 96.934229 131.182472) + (xy 96.835058 130.983311) + (xy 96.835057 130.98331) + (xy 96.835057 130.983309) + (xy 96.835055 130.983306) + (xy 96.700983 130.805767) + (xy 96.700981 130.805764) + (xy 96.536562 130.655876) + (xy 96.347401 130.538753) + (xy 96.286168 130.515031) + (xy 96.139942 130.458382) + (xy 95.921246 130.4175) + (xy 95.921243 130.4175) + (xy 95.698757 130.4175) + (xy 95.698753 130.4175) + (xy 95.480057 130.458382) + (xy 95.272604 130.538751) + (xy 95.272599 130.538753) + (xy 95.272594 130.538755) + (xy 95.272594 130.538756) + (xy 95.08344 130.655874) + (xy 94.919016 130.805767) + (xy 94.784944 130.983306) + (xy 94.784942 130.983309) + (xy 94.685771 131.182472) + (xy 94.685769 131.182477) + (xy 94.669758 131.238751) + (xy 94.640089 131.279764) + (xy 94.598583 131.2925) + (xy 93.925507 131.2925) + (xy 93.877941 131.275187) + (xy 93.85566 131.242941) + (xy 93.847116 131.218526) + (xy 93.847116 131.218525) + (xy 93.768711 131.112289) + (xy 93.6925 131.056043) + (xy 93.662473 131.033882) + (xy 93.537854 130.990276) + (xy 93.537855 130.990276) + (xy 93.53785 130.990275) + (xy 93.537849 130.990275) + (xy 93.508256 130.9875) + (xy 92.927744 130.9875) + (xy 92.898151 130.990275) + (xy 92.898149 130.990275) + (xy 92.898145 130.990276) + (xy 92.841941 131.009943) + (xy 92.791326 131.009312) + (xy 92.752958 130.976294) + (xy 92.7435 130.940096) + (xy 92.7435 127.118003) + (xy 94.405202 127.118003) + (xy 94.424362 127.349221) + (xy 94.48132 127.574145) + (xy 94.574515 127.786608) + (xy 94.686753 127.958401) + (xy 95.305435 127.339718) + (xy 95.356442 127.438156) + (xy 95.459638 127.548652) + (xy 95.585594 127.625248) + (xy 94.971439 128.239403) + (xy 95.041646 128.294048) + (xy 95.245697 128.404476) + (xy 95.465145 128.479812) + (xy 95.69399 128.517999) + (xy 95.693993 128.518) + (xy 95.926007 128.518) + (xy 95.926009 128.517999) + (xy 96.154854 128.479812) + (xy 96.374302 128.404476) + (xy 96.57835 128.29405) + (xy 96.64856 128.239402) + (xy 96.030865 127.621708) + (xy 96.098458 127.592349) + (xy 96.215739 127.496934) + (xy 96.302928 127.373415) + (xy 96.314813 127.33997) + (xy 96.933245 127.958402) + (xy 97.045484 127.786608) + (xy 97.138679 127.574145) + (xy 97.195637 127.349221) + (xy 97.214798 127.118003) + (xy 100.905202 127.118003) + (xy 100.924362 127.349221) + (xy 100.98132 127.574145) + (xy 101.074515 127.786608) + (xy 101.186753 127.958401) + (xy 101.805435 127.339718) + (xy 101.856442 127.438156) + (xy 101.959638 127.548652) + (xy 102.085594 127.625248) + (xy 101.471439 128.239403) + (xy 101.541646 128.294048) + (xy 101.745697 128.404476) + (xy 101.965145 128.479812) + (xy 102.19399 128.517999) + (xy 102.193993 128.518) + (xy 102.426007 128.518) + (xy 102.426009 128.517999) + (xy 102.654854 128.479812) + (xy 102.874302 128.404476) + (xy 103.07835 128.29405) + (xy 103.148559 128.239402) + (xy 102.530865 127.621708) + (xy 102.598458 127.592349) + (xy 102.715739 127.496934) + (xy 102.802928 127.373415) + (xy 102.814813 127.33997) + (xy 103.433245 127.958402) + (xy 103.545484 127.786608) + (xy 103.638679 127.574145) + (xy 103.695637 127.349221) + (xy 103.714798 127.118003) + (xy 103.714798 127.117996) + (xy 103.695637 126.886778) + (xy 103.638679 126.661854) + (xy 103.545484 126.449391) + (xy 103.433244 126.277596) + (xy 102.814562 126.896278) + (xy 102.763558 126.797844) + (xy 102.660362 126.687348) + (xy 102.534404 126.610751) + (xy 103.14856 125.996596) + (xy 103.148559 125.996595) + (xy 103.078353 125.941951) + (xy 102.874302 125.831523) + (xy 102.654854 125.756187) + (xy 102.426009 125.718) + (xy 102.19399 125.718) + (xy 101.965145 125.756187) + (xy 101.745697 125.831523) + (xy 101.541645 125.941951) + (xy 101.471439 125.996595) + (xy 101.471438 125.996595) + (xy 102.089134 126.614291) + (xy 102.021542 126.643651) + (xy 101.904261 126.739066) + (xy 101.817072 126.862585) + (xy 101.805186 126.896029) + (xy 101.186754 126.277597) + (xy 101.074513 126.449396) + (xy 100.98132 126.661854) + (xy 100.924362 126.886778) + (xy 100.905202 127.117996) + (xy 100.905202 127.118003) + (xy 97.214798 127.118003) + (xy 97.214798 127.117996) + (xy 97.195637 126.886778) + (xy 97.138679 126.661854) + (xy 97.045484 126.449391) + (xy 96.933244 126.277596) + (xy 96.314562 126.896278) + (xy 96.263558 126.797844) + (xy 96.160362 126.687348) + (xy 96.034404 126.610751) + (xy 96.64856 125.996596) + (xy 96.648559 125.996595) + (xy 96.578353 125.941951) + (xy 96.374302 125.831523) + (xy 96.154854 125.756187) + (xy 95.926009 125.718) + (xy 95.69399 125.718) + (xy 95.465145 125.756187) + (xy 95.245697 125.831523) + (xy 95.041645 125.941951) + (xy 94.971439 125.996595) + (xy 94.971438 125.996595) + (xy 95.589134 126.614291) + (xy 95.521542 126.643651) + (xy 95.404261 126.739066) + (xy 95.317072 126.862585) + (xy 95.305186 126.896029) + (xy 94.686754 126.277597) + (xy 94.574513 126.449396) + (xy 94.48132 126.661854) + (xy 94.424362 126.886778) + (xy 94.405202 127.117996) + (xy 94.405202 127.118003) + (xy 92.7435 127.118003) + (xy 92.7435 126.083977) + (xy 92.760813 126.036411) + (xy 92.765174 126.031651) + (xy 92.968652 125.828174) + (xy 93.014529 125.806782) + (xy 93.020978 125.8065) + (xy 93.508249 125.8065) + (xy 93.508256 125.8065) + (xy 93.537849 125.803725) + (xy 93.594532 125.78389) + (xy 93.662473 125.760117) + (xy 93.662473 125.760116) + (xy 93.662475 125.760116) + (xy 93.768711 125.681711) + (xy 93.847116 125.575475) + (xy 93.890725 125.450849) + (xy 93.8935 125.421256) + (xy 93.8935 124.815744) + (xy 93.890725 124.786151) + (xy 93.890723 124.786145) + (xy 93.847117 124.661526) + (xy 93.820897 124.625999) + (xy 93.768711 124.555289) + (xy 93.68795 124.495685) + (xy 93.662473 124.476882) + (xy 93.537854 124.433276) + (xy 93.537855 124.433276) + (xy 93.53785 124.433275) + (xy 93.537849 124.433275) + (xy 93.508256 124.4305) + (xy 93.50825 124.4305) + (xy 93.020978 124.4305) + (xy 92.973412 124.413187) + (xy 92.968652 124.408826) + (xy 92.765174 124.205347) + (xy 92.743782 124.15947) + (xy 92.7435 124.153021) + (xy 92.7435 124.028903) + (xy 92.760813 123.981337) + (xy 92.80465 123.956027) + (xy 92.84194 123.959055) + (xy 92.861666 123.965958) + (xy 92.898146 123.978724) + (xy 92.898148 123.978724) + (xy 92.898151 123.978725) + (xy 92.927744 123.9815) + (xy 92.927751 123.9815) + (xy 93.508249 123.9815) + (xy 93.508256 123.9815) + (xy 93.537849 123.978725) + (xy 93.594532 123.95889) + (xy 93.662473 123.935117) + (xy 93.662473 123.935116) + (xy 93.662475 123.935116) + (xy 93.768711 123.856711) + (xy 93.847116 123.750475) + (xy 93.890725 123.625849) + (xy 93.8935 123.596256) + (xy 93.8935 123.4675) + (xy 93.910813 123.419934) + (xy 93.95465 123.394624) + (xy 93.9675 123.3935) + (xy 94.598583 123.3935) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 143.337939 111.491313) + (xy 143.36022 111.523559) + (xy 143.36521 111.537821) + (xy 143.388884 111.605475) + (xy 143.467289 111.711711) + (xy 143.545694 111.769576) + (xy 143.573526 111.790117) + (xy 143.698145 111.833723) + (xy 143.698151 111.833725) + (xy 143.70493 111.83436) + (xy 143.750671 111.856038) + (xy 143.771777 111.902047) + (xy 143.758371 111.950859) + (xy 143.756729 111.953084) + (xy 143.747464 111.965158) + (xy 143.686955 112.111238) + (xy 143.686955 112.111239) + (xy 143.666318 112.268) + (xy 143.671183 112.304954) + (xy 143.6715 112.309788) + (xy 143.6715 118.068209) + (xy 143.671183 118.073044) + (xy 143.666318 118.109999) + (xy 143.6715 118.149361) + (xy 143.686955 118.26676) + (xy 143.686955 118.266761) + (xy 143.747464 118.412841) + (xy 143.843715 118.538279) + (xy 143.843719 118.538283) + (xy 143.873286 118.56097) + (xy 143.87693 118.564165) + (xy 144.441826 119.129061) + (xy 144.463218 119.174937) + (xy 144.463499 119.181387) + (xy 144.4635 121.042266) + (xy 144.466354 121.072699) + (xy 144.466354 121.072701) + (xy 144.466355 121.072704) + (xy 144.511206 121.200881) + (xy 144.511207 121.200883) + (xy 144.591846 121.310146) + (xy 144.591853 121.310153) + (xy 144.701116 121.390792) + (xy 144.701118 121.390793) + (xy 144.829295 121.435644) + (xy 144.829301 121.435646) + (xy 144.859734 121.4385) + (xy 145.3895 121.4385) + (xy 145.437066 121.455813) + (xy 145.462376 121.49965) + (xy 145.4635 121.5125) + (xy 145.4635 121.697238) + (xy 145.446187 121.744804) + (xy 145.40235 121.770114) + (xy 145.3895 121.771238) + (xy 145.294252 121.771238) + (xy 145.26501 121.777054) + (xy 145.235767 121.782871) + (xy 145.169449 121.827185) + (xy 145.169447 121.827187) + (xy 145.125133 121.893505) + (xy 145.1135 121.951991) + (xy 145.1135 123.991484) + (xy 145.125133 124.04997) + (xy 145.154608 124.094081) + (xy 145.169448 124.11629) + (xy 145.199854 124.136607) + (xy 145.235767 124.160604) + (xy 145.235768 124.160604) + (xy 145.235769 124.160605) + (xy 145.294252 124.172238) + (xy 145.6645 124.172238) + (xy 145.712066 124.189551) + (xy 145.737376 124.233388) + (xy 145.7385 124.246238) + (xy 145.7385 127.997238) + (xy 145.721187 128.044804) + (xy 145.67735 128.070114) + (xy 145.6645 128.071238) + (xy 144.4385 128.071238) + (xy 144.390934 128.053925) + (xy 144.365624 128.010088) + (xy 144.3645 127.997238) + (xy 144.3645 127.549788) + (xy 144.364817 127.544954) + (xy 144.36525 127.541657) + (xy 144.369682 127.508) + (xy 144.364817 127.471044) + (xy 144.3645 127.466209) + (xy 144.3645 124.246238) + (xy 144.381813 124.198672) + (xy 144.42565 124.173362) + (xy 144.4385 124.172238) + (xy 144.533746 124.172238) + (xy 144.533748 124.172238) + (xy 144.592231 124.160605) + (xy 144.658552 124.11629) + (xy 144.702867 124.049969) + (xy 144.7145 123.991486) + (xy 144.7145 121.95199) + (xy 144.702867 121.893507) + (xy 144.658552 121.827186) + (xy 144.636343 121.812346) + (xy 144.592232 121.782871) + (xy 144.592233 121.782871) + (xy 144.562989 121.777054) + (xy 144.533748 121.771238) + (xy 142.994252 121.771238) + (xy 142.96501 121.777054) + (xy 142.935767 121.782871) + (xy 142.869449 121.827185) + (xy 142.869447 121.827187) + (xy 142.825133 121.893505) + (xy 142.8135 121.951991) + (xy 142.8135 123.991484) + (xy 142.825133 124.04997) + (xy 142.854608 124.094081) + (xy 142.869448 124.11629) + (xy 142.899854 124.136607) + (xy 142.935767 124.160604) + (xy 142.935768 124.160604) + (xy 142.935769 124.160605) + (xy 142.994252 124.172238) + (xy 143.0895 124.172238) + (xy 143.137066 124.189551) + (xy 143.162376 124.233388) + (xy 143.1635 124.246238) + (xy 143.1635 127.466209) + (xy 143.163183 127.471044) + (xy 143.158318 127.507999) + (xy 143.163183 127.544954) + (xy 143.1635 127.549788) + (xy 143.1635 127.997238) + (xy 143.146187 128.044804) + (xy 143.10235 128.070114) + (xy 143.0895 128.071238) + (xy 141.844252 128.071238) + (xy 141.81501 128.077054) + (xy 141.785767 128.082871) + (xy 141.719449 128.127185) + (xy 141.719447 128.127187) + (xy 141.675133 128.193505) + (xy 141.6635 128.251991) + (xy 141.6635 130.291484) + (xy 141.675133 130.34997) + (xy 141.688575 130.370086) + (xy 141.719448 130.41629) + (xy 141.76356 130.445765) + (xy 141.785767 130.460604) + (xy 141.785768 130.460604) + (xy 141.785769 130.460605) + (xy 141.844252 130.472238) + (xy 143.0895 130.472238) + (xy 143.137066 130.489551) + (xy 143.162376 130.533388) + (xy 143.1635 130.546238) + (xy 143.1635 130.8505) + (xy 143.146187 130.898066) + (xy 143.10235 130.923376) + (xy 143.0895 130.9245) + (xy 142.609734 130.9245) + (xy 142.579301 130.927354) + (xy 142.579299 130.927354) + (xy 142.579295 130.927355) + (xy 142.451118 130.972206) + (xy 142.451116 130.972207) + (xy 142.341853 131.052846) + (xy 142.341849 131.05285) + (xy 142.265026 131.156943) + (xy 142.222851 131.184934) + (xy 142.205486 131.187) + (xy 139.78029 131.187) + (xy 139.775455 131.186683) + (xy 139.7385 131.181818) + (xy 139.699139 131.187) + (xy 139.581739 131.202455) + (xy 139.581738 131.202455) + (xy 139.435658 131.262964) + (xy 139.31022 131.359215) + (xy 139.310217 131.359218) + (xy 139.287528 131.388787) + (xy 139.284334 131.392429) + (xy 136.424939 134.251826) + (xy 136.379063 134.273218) + (xy 136.372613 134.2735) + (xy 132.12179 134.2735) + (xy 132.116955 134.273183) + (xy 132.08 134.268318) + (xy 131.943549 134.286282) + (xy 131.923238 134.288955) + (xy 131.923235 134.288956) + (xy 131.915972 134.291965) + (xy 131.865401 134.294171) + (xy 131.825244 134.263355) + (xy 131.814289 134.213935) + (xy 131.828949 134.178548) + (xy 131.842535 134.160842) + (xy 131.842534 134.160842) + (xy 131.842536 134.160841) + (xy 131.903044 134.014762) + (xy 131.923682 133.858) + (xy 131.911534 133.765733) + (xy 131.92249 133.716315) + (xy 131.93257 133.703754) + (xy 131.997152 133.639173) + (xy 132.04303 133.617781) + (xy 132.049478 133.6175) + (xy 136.06057 133.6175) + (xy 136.06379 133.61764) + (xy 136.089942 133.619928) + (xy 136.105201 133.621264) + (xy 136.105201 133.621263) + (xy 136.105203 133.621264) + (xy 136.145363 133.610502) + (xy 136.148496 133.609807) + (xy 136.189441 133.602588) + (xy 136.19359 133.600191) + (xy 136.211451 133.592794) + (xy 136.21608 133.591554) + (xy 136.250128 133.567712) + (xy 136.252846 133.56598) + (xy 136.288851 133.545194) + (xy 136.315583 133.513334) + (xy 136.317744 133.510976) + (xy 137.886983 131.941737) + (xy 137.889329 131.939587) + (xy 137.921194 131.912851) + (xy 137.94198 131.876846) + (xy 137.943712 131.874129) + (xy 137.967553 131.840081) + (xy 137.967554 131.84008) + (xy 137.968794 131.83545) + (xy 137.976193 131.817588) + (xy 137.978588 131.813441) + (xy 137.985807 131.772496) + (xy 137.986504 131.769356) + (xy 137.991517 131.750648) + (xy 137.997264 131.729203) + (xy 137.997225 131.728762) + (xy 137.993641 131.687796) + (xy 137.9935 131.684569) + (xy 137.9935 123.171738) + (xy 140.314001 123.171738) + (xy 140.314001 124.00322) + (xy 140.328834 124.096886) + (xy 140.328835 124.096887) + (xy 140.38636 124.209784) + (xy 140.475953 124.299377) + (xy 140.58885 124.356902) + (xy 140.682518 124.371737) + (xy 141.263999 124.371737) + (xy 141.264 124.371736) + (xy 141.264 123.171738) + (xy 141.664 123.171738) + (xy 141.664 124.371737) + (xy 142.245481 124.371737) + (xy 142.339148 124.356903) + (xy 142.339149 124.356902) + (xy 142.452046 124.299377) + (xy 142.541639 124.209784) + (xy 142.599164 124.096887) + (xy 142.599164 124.096886) + (xy 142.614 124.003219) + (xy 142.614 123.171738) + (xy 141.664 123.171738) + (xy 141.264 123.171738) + (xy 140.314001 123.171738) + (xy 137.9935 123.171738) + (xy 137.9935 122.771738) + (xy 140.314 122.771738) + (xy 142.613999 122.771738) + (xy 142.613999 121.940255) + (xy 142.599165 121.846589) + (xy 142.599164 121.846588) + (xy 142.547705 121.745596) + (xy 142.541535 121.695354) + (xy 142.569104 121.652901) + (xy 142.613639 121.638) + (xy 142.679641 121.638) + (xy 142.716493 121.635099) + (xy 142.874199 121.589281) + (xy 143.015556 121.505682) + (xy 143.131682 121.389556) + (xy 143.215281 121.248199) + (xy 143.261099 121.090493) + (xy 143.264 121.053641) + (xy 143.264 120.088) + (xy 141.139 120.088) + (xy 141.139 121.053641) + (xy 141.1419 121.090493) + (xy 141.187718 121.248199) + (xy 141.271317 121.389556) + (xy 141.327173 121.445412) + (xy 141.348565 121.491288) + (xy 141.335464 121.540183) + (xy 141.294 121.569217) + (xy 141.274847 121.571738) + (xy 140.682518 121.571738) + (xy 140.588851 121.586572) + (xy 140.58885 121.586573) + (xy 140.475953 121.644098) + (xy 140.38636 121.733691) + (xy 140.328835 121.846588) + (xy 140.328835 121.846589) + (xy 140.314 121.940256) + (xy 140.314 122.771738) + (xy 137.9935 122.771738) + (xy 137.9935 119.688) + (xy 141.139 119.688) + (xy 142.0015 119.688) + (xy 142.0015 118.138) + (xy 142.4015 118.138) + (xy 142.4015 119.688) + (xy 143.264 119.688) + (xy 143.264 118.722358) + (xy 143.261099 118.685506) + (xy 143.215281 118.5278) + (xy 143.131682 118.386443) + (xy 143.015556 118.270317) + (xy 142.874199 118.186718) + (xy 142.716491 118.1409) + (xy 142.716494 118.1409) + (xy 142.679641 118.138) + (xy 142.4015 118.138) + (xy 142.0015 118.138) + (xy 141.723359 118.138) + (xy 141.686506 118.1409) + (xy 141.5288 118.186718) + (xy 141.387443 118.270317) + (xy 141.271317 118.386443) + (xy 141.187718 118.5278) + (xy 141.1419 118.685506) + (xy 141.139 118.722358) + (xy 141.139 119.688) + (xy 137.9935 119.688) + (xy 137.9935 115.989477) + (xy 138.010813 115.941911) + (xy 138.015174 115.937151) + (xy 142.456652 111.495674) + (xy 142.502528 111.474282) + (xy 142.508978 111.474) + (xy 143.290373 111.474) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 122.793685 93.19468) + (xy 122.900237 93.21) + (xy 122.971763 93.21) + (xy 123.078315 93.19468) + (xy 123.135999 93.168336) + (xy 123.135999 93.990629) + (xy 123.136 93.990652) + (xy 123.136 94.791664) + (xy 123.078315 94.76532) + (xy 122.971763 94.75) + (xy 122.900237 94.75) + (xy 122.793685 94.76532) + (xy 122.736 94.791664) + (xy 122.736 93.168335) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 174.973066 68.017813) + (xy 174.998376 68.06165) + (xy 174.9995 68.0745) + (xy 174.9995 68.282867) + (xy 175.019809 68.605668) + (xy 175.019862 68.60678) + (xy 175.020741 68.63364) + (xy 175.022155 68.642949) + (xy 175.03502 68.847432) + (xy 175.035022 68.847454) + (xy 175.079148 69.196741) + (xy 175.079262 69.197752) + (xy 175.08071 69.212458) + (xy 175.081718 69.217088) + (xy 175.10592 69.40867) + (xy 175.105922 69.408679) + (xy 175.157892 69.68112) + (xy 175.149799 69.731088) + (xy 175.137529 69.747312) + (xy 174.074314 70.810528) + (xy 174.028438 70.83192) + (xy 173.979543 70.818819) + (xy 173.969662 70.810528) + (xy 173.791471 70.632337) + (xy 173.770079 70.586461) + (xy 173.78318 70.537566) + (xy 173.791471 70.527685) + (xy 174.869319 69.449836) + (xy 174.869319 69.449835) + (xy 174.584932 69.219543) + (xy 174.268468 69.014029) + (xy 173.932254 68.84272) + (xy 173.579987 68.707498) + (xy 173.215504 68.609836) + (xy 172.842823 68.550808) + (xy 172.466 68.53106) + (xy 172.089177 68.550808) + (xy 172.089176 68.550808) + (xy 171.716495 68.609836) + (xy 171.352012 68.707498) + (xy 170.999745 68.84272) + (xy 170.663531 69.014029) + (xy 170.347067 69.219543) + (xy 170.062679 69.449835) + (xy 170.062679 69.449836) + (xy 171.140528 70.527685) + (xy 171.16192 70.573561) + (xy 171.148819 70.622456) + (xy 171.140528 70.632337) + (xy 170.962337 70.810528) + (xy 170.916461 70.83192) + (xy 170.867566 70.818819) + (xy 170.857685 70.810528) + (xy 169.779836 69.732679) + (xy 169.779835 69.732679) + (xy 169.549543 70.017067) + (xy 169.344029 70.333531) + (xy 169.17272 70.669745) + (xy 169.037498 71.022012) + (xy 168.939836 71.386495) + (xy 168.880808 71.759176) + (xy 168.880808 71.759177) + (xy 168.86106 72.136) + (xy 168.880808 72.512822) + (xy 168.880808 72.512823) + (xy 168.939836 72.885504) + (xy 169.037498 73.249987) + (xy 169.17272 73.602254) + (xy 169.344029 73.938468) + (xy 169.549543 74.254932) + (xy 169.779836 74.539319) + (xy 170.857685 73.461471) + (xy 170.903561 73.440079) + (xy 170.952456 73.45318) + (xy 170.962337 73.461471) + (xy 171.140528 73.639662) + (xy 171.16192 73.685538) + (xy 171.148819 73.734433) + (xy 171.140528 73.744314) + (xy 170.062679 74.822162) + (xy 170.062679 74.822163) + (xy 170.347067 75.052456) + (xy 170.663531 75.25797) + (xy 170.999745 75.429279) + (xy 171.352012 75.564501) + (xy 171.716495 75.662163) + (xy 172.089176 75.721191) + (xy 172.465999 75.740939) + (xy 172.842822 75.721191) + (xy 172.842823 75.721191) + (xy 173.215504 75.662163) + (xy 173.579987 75.564501) + (xy 173.932254 75.429279) + (xy 174.268468 75.25797) + (xy 174.584932 75.052456) + (xy 174.869319 74.822162) + (xy 173.791471 73.744314) + (xy 173.770079 73.698438) + (xy 173.78318 73.649543) + (xy 173.791465 73.639668) + (xy 173.969663 73.46147) + (xy 174.015538 73.440079) + (xy 174.064433 73.45318) + (xy 174.074314 73.461471) + (xy 175.152162 74.539319) + (xy 175.382456 74.254932) + (xy 175.58797 73.938468) + (xy 175.759279 73.602254) + (xy 175.894501 73.249987) + (xy 175.992163 72.885504) + (xy 176.051191 72.512823) + (xy 176.051191 72.512818) + (xy 176.051416 72.508528) + (xy 176.071189 72.461931) + (xy 176.116288 72.438945) + (xy 176.165611 72.450325) + (xy 176.190162 72.476742) + (xy 176.249068 72.583891) + (xy 176.552187 73.06153) + (xy 176.552198 73.061546) + (xy 176.884693 73.519186) + (xy 176.884702 73.519197) + (xy 177.245288 73.95507) + (xy 177.245287 73.95507) + (xy 177.601821 74.334739) + (xy 177.632544 74.367456) + (xy 178.044924 74.754707) + (xy 178.044929 74.754711) + (xy 178.480802 75.115297) + (xy 178.480813 75.115306) + (xy 178.938453 75.447801) + (xy 178.938469 75.447812) + (xy 179.175961 75.598529) + (xy 179.416111 75.750933) + (xy 179.911841 76.023463) + (xy 180.423706 76.264328) + (xy 180.423716 76.264332) + (xy 180.423734 76.26434) + (xy 180.779645 76.405254) + (xy 180.949684 76.472578) + (xy 181.487701 76.64739) + (xy 182.035633 76.788075) + (xy 182.591317 76.894077) + (xy 182.591323 76.894077) + (xy 182.591329 76.894079) + (xy 182.782908 76.918281) + (xy 182.784235 76.918963) + (xy 182.80222 76.920734) + (xy 182.803211 76.920845) + (xy 183.15256 76.964979) + (xy 183.357041 76.977843) + (xy 183.359783 76.979041) + (xy 183.393193 76.980135) + (xy 183.394278 76.980186) + (xy 183.717148 77.0005) + (xy 183.9255 77.0005) + (xy 183.973066 77.017813) + (xy 183.998376 77.06165) + (xy 183.9995 77.0745) + (xy 183.9995 157.9255) + (xy 183.982187 157.973066) + (xy 183.93835 157.998376) + (xy 183.9255 157.9995) + (xy 183.717134 157.9995) + (xy 183.394331 158.01981) + (xy 183.393218 158.019863) + (xy 183.366389 158.020741) + (xy 183.35705 158.022155) + (xy 183.152567 158.03502) + (xy 183.152545 158.035022) + (xy 182.803258 158.079148) + (xy 182.802248 158.079262) + (xy 182.787575 158.080707) + (xy 182.782911 158.081718) + (xy 182.591329 158.10592) + (xy 182.59132 158.105922) + (xy 182.213908 158.177917) + (xy 182.213777 158.17794) + (xy 182.213755 158.177946) + (xy 182.03563 158.211925) + (xy 182.035628 158.211926) + (xy 181.487724 158.352603) + (xy 181.487682 158.352616) + (xy 180.949702 158.527415) + (xy 180.949675 158.527425) + (xy 180.423734 158.735659) + (xy 180.423705 158.735672) + (xy 179.911838 158.976538) + (xy 179.911837 158.976539) + (xy 179.416116 159.249064) + (xy 179.416087 159.249081) + (xy 178.938469 159.552187) + (xy 178.938453 159.552198) + (xy 178.480813 159.884693) + (xy 178.480802 159.884702) + (xy 178.044929 160.245288) + (xy 177.632549 160.632538) + (xy 177.632538 160.632549) + (xy 177.245288 161.044929) + (xy 176.884702 161.480802) + (xy 176.884693 161.480813) + (xy 176.552198 161.938453) + (xy 176.552187 161.938469) + (xy 176.249068 162.416108) + (xy 176.091099 162.703451) + (xy 176.053012 162.736793) + (xy 176.002404 162.737853) + (xy 175.962955 162.706135) + (xy 175.954773 162.686953) + (xy 175.894501 162.462011) + (xy 175.759279 162.109745) + (xy 175.58797 161.773531) + (xy 175.382456 161.457067) + (xy 175.152162 161.172679) + (xy 174.074314 162.250528) + (xy 174.028438 162.27192) + (xy 173.979543 162.258819) + (xy 173.969662 162.250528) + (xy 173.791471 162.072337) + (xy 173.770079 162.026461) + (xy 173.78318 161.977566) + (xy 173.791471 161.967685) + (xy 174.869319 160.889836) + (xy 174.869319 160.889835) + (xy 174.584932 160.659543) + (xy 174.268468 160.454029) + (xy 173.932254 160.28272) + (xy 173.579987 160.147498) + (xy 173.215504 160.049836) + (xy 172.842823 159.990808) + (xy 172.466 159.97106) + (xy 172.089177 159.990808) + (xy 172.089176 159.990808) + (xy 171.716495 160.049836) + (xy 171.352012 160.147498) + (xy 170.999745 160.28272) + (xy 170.663531 160.454029) + (xy 170.347067 160.659543) + (xy 170.062679 160.889835) + (xy 170.062679 160.889836) + (xy 171.140528 161.967685) + (xy 171.16192 162.013561) + (xy 171.148819 162.062456) + (xy 171.140528 162.072337) + (xy 170.962337 162.250528) + (xy 170.916461 162.27192) + (xy 170.867566 162.258819) + (xy 170.857685 162.250528) + (xy 169.779836 161.172679) + (xy 169.779835 161.172679) + (xy 169.549543 161.457067) + (xy 169.344029 161.773531) + (xy 169.17272 162.109745) + (xy 169.037498 162.462012) + (xy 168.939836 162.826495) + (xy 168.880808 163.199176) + (xy 168.880808 163.199177) + (xy 168.86106 163.576) + (xy 168.880808 163.952822) + (xy 168.880808 163.952823) + (xy 168.939836 164.325504) + (xy 169.037498 164.689987) + (xy 169.17272 165.042254) + (xy 169.344029 165.378468) + (xy 169.549543 165.694932) + (xy 169.779836 165.979319) + (xy 170.857685 164.901471) + (xy 170.903561 164.880079) + (xy 170.952456 164.89318) + (xy 170.962337 164.901471) + (xy 171.140528 165.079662) + (xy 171.16192 165.125538) + (xy 171.148819 165.174433) + (xy 171.140528 165.184314) + (xy 170.062679 166.262162) + (xy 170.062679 166.262163) + (xy 170.347067 166.492456) + (xy 170.663531 166.69797) + (xy 170.980679 166.859565) + (xy 171.015201 166.896586) + (xy 171.017851 166.947135) + (xy 170.987387 166.987562) + (xy 170.947084 166.9995) + (xy 114.890299 166.9995) + (xy 114.842733 166.982187) + (xy 114.817423 166.93835) + (xy 114.826213 166.8885) + (xy 114.864599 166.856106) + (xy 114.940665 166.827934) + (xy 115.11326 166.720355) + (xy 115.260671 166.580231) + (xy 115.376857 166.413302) + (xy 115.376857 166.413301) + (xy 115.457058 166.226411) + (xy 115.457061 166.226401) + (xy 115.497999 166.027196) + (xy 115.498 166.027188) + (xy 115.498 165.7755) + (xy 114.798 165.7755) + (xy 114.798 165.461746) + (xy 116.5515 165.461746) + (xy 116.563133 165.520232) + (xy 116.568714 165.528584) + (xy 116.607448 165.586552) + (xy 116.65156 165.616027) + (xy 116.673767 165.630866) + (xy 116.673768 165.630866) + (xy 116.673769 165.630867) + (xy 116.732252 165.6425) + (xy 116.732254 165.6425) + (xy 118.471746 165.6425) + (xy 118.471748 165.6425) + (xy 118.530231 165.630867) + (xy 118.596552 165.586552) + (xy 118.640867 165.520231) + (xy 118.6525 165.461748) + (xy 118.6525 163.722252) + (xy 118.640867 163.663769) + (xy 118.596552 163.597448) + (xy 118.574343 163.582608) + (xy 118.530232 163.553133) + (xy 118.530233 163.553133) + (xy 118.500989 163.547316) + (xy 118.471748 163.5415) + (xy 116.732252 163.5415) + (xy 116.70301 163.547316) + (xy 116.673767 163.553133) + (xy 116.607449 163.597447) + (xy 116.607447 163.597449) + (xy 116.563133 163.663767) + (xy 116.5515 163.722253) + (xy 116.5515 165.461746) + (xy 114.798 165.461746) + (xy 114.798 165.3755) + (xy 115.498 165.3755) + (xy 115.498 165.174789) + (xy 115.497999 165.17478) + (xy 115.482582 165.023166) + (xy 115.48258 165.023161) + (xy 115.421699 164.829115) + (xy 115.421698 164.829114) + (xy 115.322993 164.651282) + (xy 115.322989 164.651277) + (xy 115.190522 164.496971) + (xy 115.19052 164.496968) + (xy 115.029696 164.372482) + (xy 115.029694 164.37248) + (xy 114.847097 164.282913) + (xy 114.847092 164.282911) + (xy 114.698 164.244308) + (xy 114.697999 165.0006) + (xy 114.634038 164.952299) + (xy 114.52616 164.921605) + (xy 114.414479 164.931954) + (xy 114.314078 164.981948) + (xy 114.298 164.999584) + (xy 114.298 164.244473) + (xy 114.246052 164.252432) + (xy 114.055334 164.323065) + (xy 113.882739 164.430644) + (xy 113.735328 164.570768) + (xy 113.619142 164.737697) + (xy 113.619142 164.737698) + (xy 113.538941 164.924588) + (xy 113.538938 164.924598) + (xy 113.498 165.123803) + (xy 113.498 165.3755) + (xy 114.198 165.3755) + (xy 114.198 165.7755) + (xy 113.498 165.7755) + (xy 113.498 165.976219) + (xy 113.513417 166.127833) + (xy 113.513419 166.127838) + (xy 113.5743 166.321884) + (xy 113.574301 166.321885) + (xy 113.673006 166.499717) + (xy 113.67301 166.499722) + (xy 113.805477 166.654028) + (xy 113.805479 166.654031) + (xy 113.966303 166.778517) + (xy 113.966305 166.778519) + (xy 114.130504 166.859062) + (xy 114.165585 166.895554) + (xy 114.169002 166.946057) + (xy 114.139157 166.986942) + (xy 114.097915 166.9995) + (xy 107.890299 166.9995) + (xy 107.842733 166.982187) + (xy 107.817423 166.93835) + (xy 107.826213 166.8885) + (xy 107.864599 166.856106) + (xy 107.940665 166.827934) + (xy 108.11326 166.720355) + (xy 108.260671 166.580231) + (xy 108.376857 166.413302) + (xy 108.376857 166.413301) + (xy 108.457058 166.226411) + (xy 108.457061 166.226401) + (xy 108.497999 166.027196) + (xy 108.498 166.027188) + (xy 108.498 165.7755) + (xy 107.798 165.7755) + (xy 107.798 165.3755) + (xy 108.498 165.3755) + (xy 108.498 165.174789) + (xy 108.497999 165.17478) + (xy 108.482582 165.023166) + (xy 108.48258 165.023161) + (xy 108.421699 164.829115) + (xy 108.421698 164.829114) + (xy 108.322993 164.651282) + (xy 108.322989 164.651277) + (xy 108.190522 164.496971) + (xy 108.19052 164.496968) + (xy 108.029696 164.372482) + (xy 108.029694 164.37248) + (xy 107.847097 164.282913) + (xy 107.847092 164.282911) + (xy 107.698 164.244308) + (xy 107.697999 165.0006) + (xy 107.634038 164.952299) + (xy 107.52616 164.921605) + (xy 107.414479 164.931954) + (xy 107.314078 164.981948) + (xy 107.298 164.999584) + (xy 107.298 164.244473) + (xy 107.246052 164.252432) + (xy 107.055334 164.323065) + (xy 106.882739 164.430644) + (xy 106.735328 164.570768) + (xy 106.619142 164.737697) + (xy 106.619142 164.737698) + (xy 106.538941 164.924588) + (xy 106.538938 164.924598) + (xy 106.498 165.123803) + (xy 106.498 165.3755) + (xy 107.198 165.3755) + (xy 107.198 165.7755) + (xy 106.498 165.7755) + (xy 106.498 165.976219) + (xy 106.513417 166.127833) + (xy 106.513419 166.127838) + (xy 106.5743 166.321884) + (xy 106.574301 166.321885) + (xy 106.673006 166.499717) + (xy 106.67301 166.499722) + (xy 106.805477 166.654028) + (xy 106.805479 166.654031) + (xy 106.966303 166.778517) + (xy 106.966305 166.778519) + (xy 107.130504 166.859062) + (xy 107.165585 166.895554) + (xy 107.169002 166.946057) + (xy 107.139157 166.986942) + (xy 107.097915 166.9995) + (xy 102.864916 166.9995) + (xy 102.81735 166.982187) + (xy 102.79204 166.93835) + (xy 102.80083 166.8885) + (xy 102.831321 166.859565) + (xy 103.148468 166.69797) + (xy 103.464932 166.492456) + (xy 103.749319 166.262162) + (xy 102.671471 165.184314) + (xy 102.650079 165.138438) + (xy 102.66318 165.089543) + (xy 102.671465 165.079668) + (xy 102.849663 164.90147) + (xy 102.895538 164.880079) + (xy 102.944433 164.89318) + (xy 102.954314 164.901471) + (xy 104.032162 165.979319) + (xy 104.262456 165.694932) + (xy 104.46797 165.378468) + (xy 104.639279 165.042254) + (xy 104.774501 164.689987) + (xy 104.872163 164.325504) + (xy 104.931191 163.952823) + (xy 104.931191 163.952822) + (xy 104.950939 163.575999) + (xy 104.931191 163.199177) + (xy 104.931191 163.199176) + (xy 104.879925 162.875503) + (xy 107.36818 162.875503) + (xy 107.387415 163.083092) + (xy 107.387417 163.083105) + (xy 107.444472 163.283632) + (xy 107.444474 163.283637) + (xy 107.537408 163.470275) + (xy 107.53741 163.470277) + (xy 107.573107 163.517548) + (xy 108.087862 163.002792) + (xy 108.088762 163.008469) + (xy 108.149882 163.128423) + (xy 108.245077 163.223618) + (xy 108.365031 163.284738) + (xy 108.370705 163.285636) + (xy 107.85548 163.800861) + (xy 107.994391 163.886869) + (xy 107.994401 163.886874) + (xy 108.188806 163.962188) + (xy 108.393749 164.000499) + (xy 108.393755 164.0005) + (xy 108.602245 164.0005) + (xy 108.60225 164.000499) + (xy 108.807193 163.962188) + (xy 109.001598 163.886874) + (xy 109.001608 163.886869) + (xy 109.140518 163.800861) + (xy 108.625293 163.285636) + (xy 108.630969 163.284738) + (xy 108.750923 163.223618) + (xy 108.846118 163.128423) + (xy 108.907238 163.008469) + (xy 108.908136 163.002793) + (xy 109.42289 163.517547) + (xy 109.458593 163.47027) + (xy 109.551525 163.283637) + (xy 109.551527 163.283632) + (xy 109.608582 163.083105) + (xy 109.608584 163.083092) + (xy 109.62782 162.875503) + (xy 112.36818 162.875503) + (xy 112.387415 163.083092) + (xy 112.387417 163.083105) + (xy 112.444472 163.283632) + (xy 112.444474 163.283637) + (xy 112.537408 163.470275) + (xy 112.53741 163.470277) + (xy 112.573107 163.517548) + (xy 113.087862 163.002792) + (xy 113.088762 163.008469) + (xy 113.149882 163.128423) + (xy 113.245077 163.223618) + (xy 113.365031 163.284738) + (xy 113.370705 163.285636) + (xy 112.85548 163.800861) + (xy 112.994391 163.886869) + (xy 112.994401 163.886874) + (xy 113.188806 163.962188) + (xy 113.393749 164.000499) + (xy 113.393755 164.0005) + (xy 113.602245 164.0005) + (xy 113.60225 164.000499) + (xy 113.807193 163.962188) + (xy 114.001598 163.886874) + (xy 114.001608 163.886869) + (xy 114.140518 163.800861) + (xy 113.625293 163.285636) + (xy 113.630969 163.284738) + (xy 113.750923 163.223618) + (xy 113.846118 163.128423) + (xy 113.907238 163.008469) + (xy 113.908136 163.002793) + (xy 114.42289 163.517547) + (xy 114.458593 163.47027) + (xy 114.551525 163.283637) + (xy 114.551527 163.283632) + (xy 114.608582 163.083105) + (xy 114.608584 163.083092) + (xy 114.62782 162.875503) + (xy 114.62782 162.875496) + (xy 114.608584 162.667907) + (xy 114.608582 162.667894) + (xy 114.551527 162.467367) + (xy 114.551525 162.467362) + (xy 114.458591 162.280724) + (xy 114.458589 162.280722) + (xy 114.422891 162.23345) + (xy 113.908136 162.748205) + (xy 113.907238 162.742531) + (xy 113.846118 162.622577) + (xy 113.750923 162.527382) + (xy 113.630969 162.466262) + (xy 113.625292 162.465362) + (xy 114.140518 161.950137) + (xy 114.001608 161.86413) + (xy 114.001598 161.864125) + (xy 113.807193 161.788811) + (xy 113.60225 161.7505) + (xy 113.393749 161.7505) + (xy 113.188806 161.788811) + (xy 112.994401 161.864125) + (xy 112.994385 161.864132) + (xy 112.85548 161.950137) + (xy 113.370706 162.465363) + (xy 113.365031 162.466262) + (xy 113.245077 162.527382) + (xy 113.149882 162.622577) + (xy 113.088762 162.742531) + (xy 113.087863 162.748206) + (xy 112.573107 162.23345) + (xy 112.53741 162.280721) + (xy 112.537408 162.280724) + (xy 112.444474 162.467362) + (xy 112.444472 162.467367) + (xy 112.387417 162.667894) + (xy 112.387415 162.667907) + (xy 112.36818 162.875496) + (xy 112.36818 162.875503) + (xy 109.62782 162.875503) + (xy 109.62782 162.875496) + (xy 109.608584 162.667907) + (xy 109.608582 162.667894) + (xy 109.551527 162.467367) + (xy 109.551525 162.467362) + (xy 109.458591 162.280724) + (xy 109.458589 162.280722) + (xy 109.422891 162.23345) + (xy 108.908136 162.748205) + (xy 108.907238 162.742531) + (xy 108.846118 162.622577) + (xy 108.750923 162.527382) + (xy 108.630969 162.466262) + (xy 108.625292 162.465362) + (xy 109.140518 161.950137) + (xy 109.001608 161.86413) + (xy 109.001598 161.864125) + (xy 108.807193 161.788811) + (xy 108.60225 161.7505) + (xy 108.393749 161.7505) + (xy 108.188806 161.788811) + (xy 107.994401 161.864125) + (xy 107.994385 161.864132) + (xy 107.85548 161.950137) + (xy 108.370706 162.465363) + (xy 108.365031 162.466262) + (xy 108.245077 162.527382) + (xy 108.149882 162.622577) + (xy 108.088762 162.742531) + (xy 108.087863 162.748206) + (xy 107.573107 162.23345) + (xy 107.53741 162.280721) + (xy 107.537408 162.280724) + (xy 107.444474 162.467362) + (xy 107.444472 162.467367) + (xy 107.387417 162.667894) + (xy 107.387415 162.667907) + (xy 107.36818 162.875496) + (xy 107.36818 162.875503) + (xy 104.879925 162.875503) + (xy 104.872163 162.826495) + (xy 104.774501 162.462012) + (xy 104.639279 162.109745) + (xy 104.46797 161.773531) + (xy 104.262456 161.457067) + (xy 104.032162 161.172679) + (xy 102.954314 162.250528) + (xy 102.908438 162.27192) + (xy 102.859543 162.258819) + (xy 102.849662 162.250528) + (xy 102.776961 162.177827) + (xy 102.671469 162.072335) + (xy 102.650078 162.026461) + (xy 102.663179 161.977567) + (xy 102.67147 161.967685) + (xy 103.749319 160.889836) + (xy 103.749319 160.889835) + (xy 103.464932 160.659543) + (xy 103.148468 160.454029) + (xy 102.812254 160.28272) + (xy 102.459987 160.147498) + (xy 102.095504 160.049836) + (xy 101.722823 159.990808) + (xy 101.346 159.97106) + (xy 100.969177 159.990808) + (xy 100.969176 159.990808) + (xy 100.596495 160.049836) + (xy 100.232012 160.147498) + (xy 99.879745 160.28272) + (xy 99.543531 160.454029) + (xy 99.227067 160.659543) + (xy 98.942679 160.889835) + (xy 98.942679 160.889836) + (xy 100.020528 161.967685) + (xy 100.04192 162.013561) + (xy 100.028819 162.062456) + (xy 100.020528 162.072337) + (xy 99.842337 162.250528) + (xy 99.796461 162.27192) + (xy 99.747566 162.258819) + (xy 99.737685 162.250528) + (xy 98.659836 161.172679) + (xy 98.659835 161.172679) + (xy 98.429543 161.457067) + (xy 98.224029 161.773531) + (xy 98.05272 162.109745) + (xy 97.916804 162.463821) + (xy 97.915896 162.463472) + (xy 97.88663 162.500875) + (xy 97.83711 162.511363) + (xy 97.792433 162.487566) + (xy 97.782615 162.473741) + (xy 97.779108 162.467362) + (xy 97.750933 162.416111) + (xy 97.750918 162.416087) + (xy 97.447812 161.938469) + (xy 97.447801 161.938453) + (xy 97.115306 161.480813) + (xy 97.115297 161.480802) + (xy 96.754711 161.044929) + (xy 96.754712 161.044929) + (xy 96.367461 160.632549) + (xy 96.36745 160.632538) + (xy 96.100411 160.381772) + (xy 95.955076 160.245293) + (xy 95.647457 159.990808) + (xy 95.519197 159.884702) + (xy 95.519186 159.884693) + (xy 95.061546 159.552198) + (xy 95.06153 159.552187) + (xy 94.583912 159.249081) + (xy 94.583899 159.249073) + (xy 94.583889 159.249067) + (xy 94.088159 158.976537) + (xy 93.576294 158.735672) + (xy 93.576265 158.735659) + (xy 93.050324 158.527425) + (xy 93.050297 158.527415) + (xy 92.512317 158.352616) + (xy 92.512299 158.35261) + (xy 92.51229 158.352607) + (xy 92.512275 158.352603) + (xy 91.964371 158.211926) + (xy 91.964363 158.211924) + (xy 91.786244 158.177946) + (xy 91.786239 158.177943) + (xy 91.786097 158.177918) + (xy 91.408679 158.105922) + (xy 91.40867 158.10592) + (xy 91.217088 158.081718) + (xy 91.21576 158.081035) + (xy 91.197752 158.079262) + (xy 91.196741 158.079148) + (xy 90.847454 158.035022) + (xy 90.847432 158.03502) + (xy 90.642949 158.022155) + (xy 90.640206 158.020956) + (xy 90.60678 158.019862) + (xy 90.605668 158.019809) + (xy 90.282867 157.9995) + (xy 90.282852 157.9995) + (xy 90.0745 157.9995) + (xy 90.026934 157.982187) + (xy 90.001624 157.93835) + (xy 90.0005 157.9255) + (xy 90.0005 141.416118) + (xy 91.155517 141.416118) + (xy 91.176154 141.572878) + (xy 91.176154 141.572879) + (xy 91.236663 141.718959) + (xy 91.332914 141.844397) + (xy 91.332915 141.844398) + (xy 91.332917 141.8444) + (xy 91.406748 141.901052) + (xy 91.433945 141.943742) + (xy 91.435699 141.959759) + (xy 91.435699 150.422164) + (xy 91.435558 150.425391) + (xy 91.431934 150.466796) + (xy 91.431934 150.466797) + (xy 91.442694 150.506955) + (xy 91.443392 150.510105) + (xy 91.45061 150.551035) + (xy 91.45301 150.555191) + (xy 91.460402 150.573038) + (xy 91.461644 150.577673) + (xy 91.461645 150.577676) + (xy 91.485487 150.611727) + (xy 91.487221 150.614449) + (xy 91.496011 150.629672) + (xy 91.508005 150.650446) + (xy 91.508007 150.650448) + (xy 91.508006 150.650448) + (xy 91.525003 150.664709) + (xy 91.539857 150.677173) + (xy 91.542226 150.679344) + (xy 99.14986 158.286979) + (xy 99.152029 158.289346) + (xy 99.178753 158.321194) + (xy 99.214749 158.341976) + (xy 99.214756 158.34198) + (xy 99.217474 158.343712) + (xy 99.230172 158.352603) + (xy 99.251522 158.367553) + (xy 99.251523 158.367553) + (xy 99.251524 158.367554) + (xy 99.256153 158.368794) + (xy 99.274008 158.376189) + (xy 99.278163 158.378588) + (xy 99.319123 158.385809) + (xy 99.322236 158.3865) + (xy 99.362401 158.397263) + (xy 99.403806 158.39364) + (xy 99.407025 158.3935) + (xy 111.156174 158.3935) + (xy 111.159394 158.39364) + (xy 111.185546 158.395928) + (xy 111.200805 158.397264) + (xy 111.200805 158.397263) + (xy 111.200807 158.397264) + (xy 111.240967 158.386502) + (xy 111.2441 158.385807) + (xy 111.285045 158.378588) + (xy 111.289194 158.376191) + (xy 111.307055 158.368794) + (xy 111.311684 158.367554) + (xy 111.345732 158.343712) + (xy 111.34845 158.34198) + (xy 111.384455 158.321194) + (xy 111.411187 158.289334) + (xy 111.413348 158.286976) + (xy 114.609782 155.090542) + (xy 114.655657 155.069151) + (xy 114.704552 155.082252) + (xy 114.733586 155.123716) + (xy 114.733586 155.162016) + (xy 114.732736 155.165193) + (xy 114.732736 155.165194) + (xy 114.732735 155.165194) + (xy 114.736359 155.206598) + (xy 114.7365 155.209825) + (xy 114.7365 155.861673) + (xy 114.736359 155.8649) + (xy 114.732735 155.906305) + (xy 114.732735 155.906306) + (xy 114.743495 155.946464) + (xy 114.744193 155.949614) + (xy 114.751411 155.990544) + (xy 114.753811 155.9947) + (xy 114.761203 156.012547) + (xy 114.762445 156.017182) + (xy 114.762446 156.017185) + (xy 114.786288 156.051236) + (xy 114.788022 156.053958) + (xy 114.791755 156.060423) + (xy 114.808806 156.089955) + (xy 114.808808 156.089957) + (xy 114.808807 156.089957) + (xy 114.825938 156.10433) + (xy 114.840658 156.116682) + (xy 114.843027 156.118853) + (xy 116.532326 157.808152) + (xy 116.553718 157.854028) + (xy 116.554 157.860478) + (xy 116.554 159.289746) + (xy 116.565633 159.348232) + (xy 116.587672 159.381214) + (xy 116.609948 159.414552) + (xy 116.65406 159.444027) + (xy 116.676267 159.458866) + (xy 116.676268 159.458866) + (xy 116.676269 159.458867) + (xy 116.734752 159.4705) + (xy 116.734754 159.4705) + (xy 118.474246 159.4705) + (xy 118.474248 159.4705) + (xy 118.532731 159.458867) + (xy 118.599052 159.414552) + (xy 118.643367 159.348231) + (xy 118.655 159.289748) + (xy 118.655 158.42) + (xy 119.088917 158.42) + (xy 119.1092 158.625935) + (xy 119.119774 158.660792) + (xy 119.168469 158.821321) + (xy 119.169269 158.823956) + (xy 119.2025 158.886126) + (xy 119.266815 159.00645) + (xy 119.39809 159.16641) + (xy 119.55805 159.297685) + (xy 119.740546 159.395232) + (xy 119.938566 159.4553) + (xy 120.1445 159.475583) + (xy 120.350434 159.4553) + (xy 120.548454 159.395232) + (xy 120.73095 159.297685) + (xy 120.89091 159.16641) + (xy 121.022185 159.00645) + (xy 121.119732 158.823954) + (xy 121.1798 158.625934) + (xy 121.200083 158.42) + (xy 121.1798 158.214066) + (xy 121.119732 158.016046) + (xy 121.022185 157.83355) + (xy 120.89091 157.67359) + (xy 120.803351 157.601733) + (xy 120.73095 157.542315) + (xy 120.548456 157.444769) + (xy 120.548455 157.444768) + (xy 120.548454 157.444768) + (xy 120.422382 157.406525) + (xy 120.350435 157.3847) + (xy 120.247467 157.374558) + (xy 120.1445 157.364417) + (xy 120.144499 157.364417) + (xy 119.938564 157.3847) + (xy 119.804236 157.425448) + (xy 119.74055 157.444767) + (xy 119.740543 157.444769) + (xy 119.558049 157.542315) + (xy 119.39809 157.673589) + (xy 119.398089 157.67359) + (xy 119.266815 157.833549) + (xy 119.169269 158.016043) + (xy 119.169268 158.016045) + (xy 119.169268 158.016046) + (xy 119.16811 158.019863) + (xy 119.1092 158.214064) + (xy 119.088917 158.42) + (xy 118.655 158.42) + (xy 118.655 157.550252) + (xy 118.643367 157.491769) + (xy 118.599052 157.425448) + (xy 118.570732 157.406525) + (xy 118.532732 157.381133) + (xy 118.532733 157.381133) + (xy 118.503489 157.375316) + (xy 118.474248 157.3695) + (xy 118.474246 157.3695) + (xy 117.044978 157.3695) + (xy 116.997412 157.352187) + (xy 116.992652 157.347826) + (xy 115.409174 155.764348) + (xy 115.387782 155.718472) + (xy 115.3875 155.712022) + (xy 115.3875 155.359478) + (xy 115.404813 155.311912) + (xy 115.409174 155.307152) + (xy 116.699153 154.017174) + (xy 116.74503 153.995782) + (xy 116.751479 153.9955) + (xy 118.094178 153.9955) + (xy 118.097398 153.99564) + (xy 118.12355 153.997928) + (xy 118.138809 153.999264) + (xy 118.138809 153.999263) + (xy 118.138811 153.999264) + (xy 118.178971 153.988502) + (xy 118.182104 153.987807) + (xy 118.223049 153.980588) + (xy 118.227198 153.978191) + (xy 118.245059 153.970794) + (xy 118.249688 153.969554) + (xy 118.283736 153.945712) + (xy 118.286454 153.94398) + (xy 118.322459 153.923194) + (xy 118.349191 153.891334) + (xy 118.351352 153.888976) + (xy 121.765754 150.474574) + (xy 121.811629 150.453183) + (xy 121.827734 150.453533) + (xy 121.920004 150.465682) + (xy 122.076766 150.445044) + (xy 122.222845 150.384536) + (xy 122.348286 150.288282) + (xy 122.44454 150.162841) + (xy 122.505048 150.016762) + (xy 122.525686 149.86) + (xy 122.505379 149.705749) + (xy 122.504415 149.698429) + (xy 122.505691 149.69826) + (xy 122.509606 149.653402) + (xy 122.545391 149.617601) + (xy 122.595816 149.613178) + (xy 122.629006 149.632335) + (xy 126.052325 153.055654) + (xy 126.073717 153.10153) + (xy 126.073999 153.10798) + (xy 126.073999 157.601733) + (xy 126.056686 157.649299) + (xy 126.012849 157.674609) + (xy 125.962999 157.665819) + (xy 125.953054 157.658936) + (xy 125.81095 157.542315) + (xy 125.628456 157.444769) + (xy 125.628455 157.444768) + (xy 125.628454 157.444768) + (xy 125.502382 157.406525) + (xy 125.430435 157.3847) + (xy 125.327467 157.374558) + (xy 125.2245 157.364417) + (xy 125.224499 157.364417) + (xy 125.018564 157.3847) + (xy 124.884236 157.425448) + (xy 124.82055 157.444767) + (xy 124.820543 157.444769) + (xy 124.638049 157.542315) + (xy 124.47809 157.673589) + (xy 124.478089 157.67359) + (xy 124.346811 157.833553) + (xy 124.344796 157.836571) + (xy 124.343842 157.835933) + (xy 124.3094 157.866793) + (xy 124.258808 157.868435) + (xy 124.218996 157.837173) + (xy 124.217762 157.835112) + (xy 124.205194 157.813343) + (xy 124.173347 157.78662) + (xy 124.170966 157.784439) + (xy 121.622299 155.235772) + (xy 121.620118 155.233392) + (xy 121.617305 155.23004) + (xy 121.593397 155.201547) + (xy 121.57603 155.191519) + (xy 121.5574 155.180763) + (xy 121.554678 155.179029) + (xy 121.520627 155.155187) + (xy 121.520624 155.155186) + (xy 121.515989 155.153944) + (xy 121.498142 155.146552) + (xy 121.493986 155.144152) + (xy 121.453056 155.136934) + (xy 121.449906 155.136236) + (xy 121.409748 155.125476) + (xy 121.409747 155.125476) + (xy 121.37676 155.128363) + (xy 121.368336 155.1291) + (xy 121.365116 155.129241) + (xy 117.136395 155.129241) + (xy 117.088829 155.111928) + (xy 117.077687 155.100289) + (xy 117.021037 155.026461) + (xy 117.021032 155.026456) + (xy 116.895594 154.930205) + (xy 116.749514 154.869696) + (xy 116.61339 154.851775) + (xy 116.592753 154.849059) + (xy 116.592752 154.849059) + (xy 116.435992 154.869696) + (xy 116.435991 154.869696) + (xy 116.289911 154.930205) + (xy 116.164473 155.026456) + (xy 116.164468 155.026461) + (xy 116.068217 155.151899) + (xy 116.007708 155.297979) + (xy 116.007708 155.29798) + (xy 115.987071 155.454741) + (xy 116.007708 155.611501) + (xy 116.007708 155.611502) + (xy 116.068217 155.757582) + (xy 116.164468 155.88302) + (xy 116.164473 155.883025) + (xy 116.194814 155.906306) + (xy 116.289912 155.979277) + (xy 116.435991 156.039785) + (xy 116.592753 156.060423) + (xy 116.749515 156.039785) + (xy 116.895594 155.979277) + (xy 117.021035 155.883023) + (xy 117.063755 155.827349) + (xy 117.077687 155.809193) + (xy 117.120378 155.781995) + (xy 117.136395 155.780241) + (xy 121.215464 155.780241) + (xy 121.26303 155.797554) + (xy 121.26779 155.801915) + (xy 122.523182 157.057307) + (xy 122.544574 157.103183) + (xy 122.531473 157.152078) + (xy 122.490009 157.181112) + (xy 122.47731 157.183351) + (xy 122.466611 157.184287) + (xy 122.466606 157.184288) + (xy 122.255349 157.240894) + (xy 122.255338 157.240899) + (xy 122.057112 157.333334) + (xy 121.877945 157.458787) + (xy 121.723287 157.613445) + (xy 121.597834 157.792612) + (xy 121.505399 157.990838) + (xy 121.505394 157.990849) + (xy 121.448788 158.202106) + (xy 121.448787 158.202113) + (xy 121.447223 158.22) + (xy 122.222117 158.22) + (xy 122.1845 158.348111) + (xy 122.1845 158.491889) + (xy 122.222117 158.62) + (xy 121.447223 158.62) + (xy 121.448787 158.637886) + (xy 121.448788 158.637893) + (xy 121.505395 158.849153) + (xy 121.597834 159.047388) + (xy 121.723285 159.226551) + (xy 121.877948 159.381214) + (xy 122.057111 159.506665) + (xy 122.255346 159.599104) + (xy 122.466606 159.655711) + (xy 122.466613 159.655712) + (xy 122.484498 159.657277) + (xy 122.484499 159.657276) + (xy 122.484499 158.878335) + (xy 122.542185 158.90468) + (xy 122.648737 158.92) + (xy 122.720263 158.92) + (xy 122.826815 158.90468) + (xy 122.8845 158.878335) + (xy 122.8845 159.657276) + (xy 122.902386 159.655712) + (xy 122.902393 159.655711) + (xy 123.113653 159.599104) + (xy 123.311888 159.506665) + (xy 123.491051 159.381214) + (xy 123.500174 159.372092) + (xy 123.54605 159.3507) + (xy 123.594945 159.363801) + (xy 123.623979 159.405265) + (xy 123.6265 159.424418) + (xy 123.6265 163.306173) + (xy 123.626359 163.3094) + (xy 123.622735 163.350805) + (xy 123.622735 163.350806) + (xy 123.633495 163.390964) + (xy 123.634193 163.394114) + (xy 123.641411 163.435044) + (xy 123.643811 163.4392) + (xy 123.651203 163.457047) + (xy 123.652445 163.461682) + (xy 123.652446 163.461685) + (xy 123.676288 163.495736) + (xy 123.678022 163.498458) + (xy 123.684625 163.509893) + (xy 123.698806 163.534455) + (xy 123.698808 163.534457) + (xy 123.698807 163.534457) + (xy 123.715938 163.54883) + (xy 123.730658 163.561182) + (xy 123.733027 163.563353) + (xy 124.246607 164.076934) + (xy 124.267999 164.122811) + (xy 124.259544 164.164141) + (xy 124.24677 164.18804) + (xy 124.1867 164.386064) + (xy 124.166417 164.592) + (xy 124.1867 164.797935) + (xy 124.211618 164.880079) + (xy 124.246768 164.995954) + (xy 124.344315 165.17845) + (xy 124.442221 165.29775) + (xy 124.460937 165.320555) + (xy 124.47773 165.368307) + (xy 124.459899 165.415682) + (xy 124.415788 165.440512) + (xy 124.403734 165.4415) + (xy 123.500266 165.4415) + (xy 123.4527 165.424187) + (xy 123.42739 165.38035) + (xy 123.43618 165.3305) + (xy 123.443063 165.320555) + (xy 123.454974 165.306041) + (xy 123.559685 165.17845) + (xy 123.657232 164.995954) + (xy 123.7173 164.797934) + (xy 123.737583 164.592) + (xy 123.7173 164.386066) + (xy 123.657232 164.188046) + (xy 123.559685 164.00555) + (xy 123.42841 163.84559) + (xy 123.26845 163.714315) + (xy 123.085954 163.616768) + (xy 122.974158 163.582855) + (xy 122.887935 163.5567) + (xy 122.682 163.536417) + (xy 122.476064 163.5567) + (xy 122.341736 163.597448) + (xy 122.283931 163.614983) + (xy 122.278043 163.616769) + (xy 122.095549 163.714315) + (xy 121.93559 163.845589) + (xy 121.935589 163.84559) + (xy 121.804315 164.005549) + (xy 121.706769 164.188043) + (xy 121.6467 164.386064) + (xy 121.626417 164.592) + (xy 121.6467 164.797935) + (xy 121.671618 164.880079) + (xy 121.706768 164.995954) + (xy 121.804315 165.17845) + (xy 121.902221 165.29775) + (xy 121.920937 165.320555) + (xy 121.93773 165.368307) + (xy 121.919899 165.415682) + (xy 121.875788 165.440512) + (xy 121.863734 165.4415) + (xy 121.482478 165.4415) + (xy 121.434912 165.424187) + (xy 121.430152 165.419826) + (xy 121.117392 165.107066) + (xy 121.096 165.06119) + (xy 121.104456 165.019856) + (xy 121.10612 165.016741) + (xy 121.117232 164.995954) + (xy 121.1773 164.797934) + (xy 121.197583 164.592) + (xy 121.1773 164.386066) + (xy 121.117232 164.188046) + (xy 121.019685 164.00555) + (xy 120.88841 163.84559) + (xy 120.72845 163.714315) + (xy 120.545954 163.616768) + (xy 120.434158 163.582855) + (xy 120.347935 163.5567) + (xy 120.142 163.536417) + (xy 119.936064 163.5567) + (xy 119.801736 163.597448) + (xy 119.743931 163.614983) + (xy 119.738043 163.616769) + (xy 119.555549 163.714315) + (xy 119.39559 163.845589) + (xy 119.395589 163.84559) + (xy 119.264315 164.005549) + (xy 119.166769 164.188043) + (xy 119.1067 164.386064) + (xy 119.086417 164.592) + (xy 119.1067 164.797935) + (xy 119.131618 164.880079) + (xy 119.162519 164.981948) + (xy 119.166769 164.995956) + (xy 119.264315 165.17845) + (xy 119.369025 165.306041) + (xy 119.39559 165.33841) + (xy 119.55555 165.469685) + (xy 119.738046 165.567232) + (xy 119.936066 165.6273) + (xy 120.142 165.647583) + (xy 120.347934 165.6273) + (xy 120.545954 165.567232) + (xy 120.569855 165.554456) + (xy 120.619967 165.547301) + (xy 120.657066 165.567392) + (xy 121.075647 165.985973) + (xy 121.077819 165.988343) + (xy 121.104545 166.020194) + (xy 121.140546 166.040979) + (xy 121.14327 166.042715) + (xy 121.177314 166.066553) + (xy 121.177316 166.066554) + (xy 121.181949 166.067795) + (xy 121.199795 166.075186) + (xy 121.203955 166.077588) + (xy 121.203958 166.077588) + (xy 121.203959 166.077589) + (xy 121.203958 166.077589) + (xy 121.244888 166.084806) + (xy 121.248038 166.085504) + (xy 121.288193 166.096264) + (xy 121.329605 166.09264) + (xy 121.332826 166.0925) + (xy 128.232875 166.0925) + (xy 128.236095 166.09264) + (xy 128.262247 166.094928) + (xy 128.277506 166.096264) + (xy 128.277506 166.096263) + (xy 128.277508 166.096264) + (xy 128.317668 166.085502) + (xy 128.320801 166.084807) + (xy 128.361746 166.077588) + (xy 128.365895 166.075191) + (xy 128.383756 166.067794) + (xy 128.38376 166.067793) + (xy 128.388385 166.066554) + (xy 128.422433 166.042712) + (xy 128.425151 166.04098) + (xy 128.461156 166.020194) + (xy 128.487888 165.988334) + (xy 128.490053 165.985973) + (xy 129.169986 165.306039) + (xy 129.215859 165.284649) + (xy 129.264754 165.29775) + (xy 129.282926 165.315922) + (xy 129.340783 165.398549) + (xy 129.495448 165.553214) + (xy 129.674611 165.678665) + (xy 129.872846 165.771104) + (xy 130.084106 165.827711) + (xy 130.084113 165.827712) + (xy 130.101998 165.829277) + (xy 130.101999 165.829276) + (xy 130.101999 165.050335) + (xy 130.159685 165.07668) + (xy 130.266237 165.092) + (xy 130.337763 165.092) + (xy 130.444315 165.07668) + (xy 130.502 165.050335) + (xy 130.502 165.829276) + (xy 130.519886 165.827712) + (xy 130.519893 165.827711) + (xy 130.731153 165.771104) + (xy 130.929388 165.678665) + (xy 131.108551 165.553214) + (xy 131.263214 165.398551) + (xy 131.388665 165.219388) + (xy 131.481104 165.021153) + (xy 131.537711 164.809893) + (xy 131.537712 164.809886) + (xy 131.539277 164.792) + (xy 130.764383 164.792) + (xy 130.802 164.663889) + (xy 130.802 164.520111) + (xy 130.764383 164.392) + (xy 131.539277 164.392) + (xy 131.537712 164.374113) + (xy 131.537711 164.374106) + (xy 131.481105 164.162849) + (xy 131.4811 164.162838) + (xy 131.388665 163.964612) + (xy 131.263212 163.785445) + (xy 131.108551 163.630785) + (xy 131.108552 163.630785) + (xy 130.929388 163.505334) + (xy 130.731153 163.412895) + (xy 130.519896 163.356288) + (xy 130.502 163.354722) + (xy 130.502 164.133664) + (xy 130.444315 164.10732) + (xy 130.337763 164.092) + (xy 130.266237 164.092) + (xy 130.159685 164.10732) + (xy 130.101999 164.133664) + (xy 130.101999 163.354722) + (xy 130.084113 163.356288) + (xy 129.872841 163.412897) + (xy 129.872838 163.412899) + (xy 129.674612 163.505334) + (xy 129.495444 163.630788) + (xy 129.483824 163.642408) + (xy 129.437947 163.663799) + (xy 129.389053 163.650696) + (xy 129.360021 163.60923) + (xy 129.3575 163.59008) + (xy 129.3575 152.923825) + (xy 129.357641 152.920598) + (xy 129.358744 152.908) + (xy 129.361264 152.879193) + (xy 129.360415 152.876025) + (xy 129.3607 152.872757) + (xy 129.3607 152.872746) + (xy 129.360701 152.872745) + (xy 129.364823 152.825602) + (xy 129.400613 152.789806) + (xy 129.451039 152.78539) + (xy 129.484218 152.804544) + (xy 130.970826 154.291152) + (xy 130.992218 154.337028) + (xy 130.9925 154.343478) + (xy 130.9925 161.508357) + (xy 130.975187 161.555923) + (xy 130.963549 161.567065) + (xy 130.889715 161.62372) + (xy 130.889713 161.623722) + (xy 130.793464 161.749158) + (xy 130.732955 161.895238) + (xy 130.732955 161.895239) + (xy 130.712318 162.052) + (xy 130.732955 162.20876) + (xy 130.732955 162.208761) + (xy 130.793464 162.354841) + (xy 130.889715 162.480279) + (xy 130.88972 162.480284) + (xy 130.9511 162.527382) + (xy 131.015159 162.576536) + (xy 131.161238 162.637044) + (xy 131.318 162.657682) + (xy 131.474762 162.637044) + (xy 131.620841 162.576536) + (xy 131.746282 162.480282) + (xy 131.842536 162.354841) + (xy 131.903044 162.208762) + (xy 131.923682 162.052) + (xy 131.903044 161.895238) + (xy 131.842536 161.749159) + (xy 131.812663 161.710227) + (xy 131.746286 161.623722) + (xy 131.746284 161.62372) + (xy 131.746282 161.623719) + (xy 131.746282 161.623718) + (xy 131.672451 161.567065) + (xy 131.645254 161.524373) + (xy 131.6435 161.508357) + (xy 131.6435 157.8335) + (xy 133.158 157.8335) + (xy 133.158 158.501561) + (xy 133.168614 158.589943) + (xy 133.224077 158.730592) + (xy 133.315435 158.851064) + (xy 133.435907 158.942422) + (xy 133.576557 158.997886) + (xy 133.576562 158.997887) + (xy 133.657999 159.007666) + (xy 133.657999 159.007665) + (xy 133.658 157.8335) + (xy 133.158 157.8335) + (xy 131.6435 157.8335) + (xy 131.6435 157.433499) + (xy 133.157999 157.433499) + (xy 133.158 157.4335) + (xy 133.658 157.4335) + (xy 133.658 156.259332) + (xy 133.576554 156.269114) + (xy 133.435907 156.324577) + (xy 133.315435 156.415935) + (xy 133.224077 156.536407) + (xy 133.168614 156.677056) + (xy 133.158 156.765438) + (xy 133.157999 157.433499) + (xy 131.6435 157.433499) + (xy 131.6435 154.193825) + (xy 131.643641 154.190598) + (xy 131.647264 154.149193) + (xy 131.636505 154.109043) + (xy 131.635806 154.105889) + (xy 131.628589 154.064958) + (xy 131.628588 154.064956) + (xy 131.628588 154.064955) + (xy 131.626186 154.060795) + (xy 131.618795 154.042949) + (xy 131.617554 154.038316) + (xy 131.60275 154.017174) + (xy 131.593715 154.00427) + (xy 131.591979 154.001546) + (xy 131.591388 154.000523) + (xy 131.571194 153.965545) + (xy 131.571193 153.965543) + (xy 131.539347 153.938821) + (xy 131.536966 153.93664) + (xy 128.712173 151.111847) + (xy 128.690781 151.065971) + (xy 128.6905 151.059543) + (xy 128.690499 149.677265) + (xy 128.707813 149.6297) + (xy 128.75165 149.60439) + (xy 128.8015 149.61318) + (xy 128.811443 149.620062) + (xy 128.95355 149.736685) + (xy 129.136046 149.834232) + (xy 129.334066 149.8943) + (xy 129.54 149.914583) + (xy 129.745934 149.8943) + (xy 129.943954 149.834232) + (xy 130.12645 149.736685) + (xy 130.28641 149.60541) + (xy 130.417685 149.44545) + (xy 130.515232 149.262954) + (xy 130.5753 149.064934) + (xy 130.595583 148.859) + (xy 130.586719 148.769008) + (xy 130.599286 148.719975) + (xy 130.640432 148.690491) + (xy 130.690903 148.694352) + (xy 130.727085 148.729752) + (xy 130.731841 148.742601) + (xy 130.732955 148.74676) + (xy 130.732956 148.746762) + (xy 130.760759 148.813884) + (xy 130.793464 148.892841) + (xy 130.889715 149.018279) + (xy 130.88972 149.018284) + (xy 130.973345 149.082451) + (xy 131.015159 149.114536) + (xy 131.161238 149.175044) + (xy 131.318 149.195682) + (xy 131.474762 149.175044) + (xy 131.620841 149.114536) + (xy 131.635451 149.103325) + (xy 131.683725 149.088103) + (xy 131.730491 149.107473) + (xy 131.753866 149.152372) + (xy 131.754499 149.162033) + (xy 131.754499 152.323673) + (xy 131.754358 152.326899) + (xy 131.750735 152.368304) + (xy 131.750735 152.368306) + (xy 131.761495 152.408464) + (xy 131.762193 152.411614) + (xy 131.769411 152.452544) + (xy 131.771811 152.4567) + (xy 131.779203 152.474547) + (xy 131.780445 152.479182) + (xy 131.780446 152.479185) + (xy 131.804288 152.513236) + (xy 131.806022 152.515958) + (xy 131.806521 152.516822) + (xy 131.826806 152.551955) + (xy 131.826808 152.551957) + (xy 131.826807 152.551957) + (xy 131.842575 152.565187) + (xy 131.858658 152.578682) + (xy 131.861027 152.580853) + (xy 134.557826 155.277652) + (xy 134.579218 155.323528) + (xy 134.5795 155.329978) + (xy 134.5795 155.817256) + (xy 134.582275 155.846849) + (xy 134.582275 155.846851) + (xy 134.582276 155.846854) + (xy 134.625882 155.971473) + (xy 134.649251 156.003137) + (xy 134.704289 156.077711) + (xy 134.810525 156.156116) + (xy 134.879942 156.180406) + (xy 134.919119 156.212455) + (xy 134.9295 156.250252) + (xy 134.9295 156.396996) + (xy 134.912187 156.444562) + (xy 134.881532 156.46492) + (xy 134.881769 156.465403) + (xy 134.878118 156.467187) + (xy 134.877353 156.467696) + (xy 134.876606 156.467926) + (xy 134.771517 156.519301) + (xy 134.688802 156.602016) + (xy 134.667881 156.644812) + (xy 134.631436 156.679941) + (xy 134.580937 156.683426) + (xy 134.540013 156.653635) + (xy 134.532559 156.639458) + (xy 134.491922 156.536407) + (xy 134.400564 156.415935) + (xy 134.280092 156.324577) + (xy 134.139445 156.269114) + (xy 134.057999 156.259332) + (xy 134.058 159.007665) + (xy 134.058 159.007666) + (xy 134.139437 158.997887) + (xy 134.139442 158.997886) + (xy 134.280092 158.942422) + (xy 134.400564 158.851064) + (xy 134.491922 158.730592) + (xy 134.532559 158.627541) + (xy 134.566114 158.589642) + (xy 134.61618 158.582179) + (xy 134.65933 158.608643) + (xy 134.667881 158.622188) + (xy 134.688801 158.664982) + (xy 134.688802 158.664983) + (xy 134.771517 158.747698) + (xy 134.876607 158.799073) + (xy 134.94474 158.809) + (xy 134.944746 158.809) + (xy 135.311254 158.809) + (xy 135.31126 158.809) + (xy 135.379393 158.799073) + (xy 135.484483 158.747698) + (xy 135.567198 158.664983) + (xy 135.618573 158.559893) + (xy 135.6285 158.49176) + (xy 135.6285 156.77524) + (xy 135.618573 156.707107) + (xy 135.606996 156.683426) + (xy 135.588019 156.644606) + (xy 135.5805 156.612106) + (xy 135.5805 156.250252) + (xy 135.597813 156.202686) + (xy 135.630056 156.180406) + (xy 135.699475 156.156116) + (xy 135.805711 156.077711) + (xy 135.884116 155.971475) + (xy 135.884117 155.971473) + (xy 135.928653 155.844199) + (xy 135.960704 155.80502) + (xy 136.010441 155.79561) + (xy 136.05459 155.820371) + (xy 136.072494 155.867718) + (xy 136.0725 155.86864) + (xy 136.0725 156.459089) + (xy 136.055187 156.506655) + (xy 136.041568 156.51925) + (xy 135.958801 156.602017) + (xy 135.907427 156.707105) + (xy 135.907427 156.707106) + (xy 135.8975 156.775235) + (xy 135.8975 158.491764) + (xy 135.907427 158.559893) + (xy 135.907427 158.559894) + (xy 135.958801 158.664982) + (xy 135.958802 158.664983) + (xy 136.041517 158.747698) + (xy 136.146607 158.799073) + (xy 136.21474 158.809) + (xy 136.214746 158.809) + (xy 136.581254 158.809) + (xy 136.58126 158.809) + (xy 136.649393 158.799073) + (xy 136.750294 158.749745) + (xy 136.800629 158.744409) + (xy 136.84262 158.772676) + (xy 136.856617 158.821321) + (xy 136.836071 158.867583) + (xy 136.835119 158.868553) + (xy 134.90903 160.794641) + (xy 134.90665 160.796822) + (xy 134.874808 160.823542) + (xy 134.874805 160.823545) + (xy 134.854019 160.859546) + (xy 134.852285 160.862268) + (xy 134.828447 160.896312) + (xy 134.828445 160.896317) + (xy 134.827201 160.900959) + (xy 134.819815 160.91879) + (xy 134.817412 160.922951) + (xy 134.817412 160.922952) + (xy 134.810193 160.963884) + (xy 134.809495 160.967033) + (xy 134.798735 161.007192) + (xy 134.798735 161.007194) + (xy 134.802359 161.048598) + (xy 134.8025 161.051825) + (xy 134.8025 161.409089) + (xy 134.785187 161.456655) + (xy 134.771568 161.46925) + (xy 134.688801 161.552017) + (xy 134.637427 161.657105) + (xy 134.637427 161.657106) + (xy 134.6275 161.725235) + (xy 134.6275 162.184) + (xy 134.610187 162.231566) + (xy 134.56635 162.256876) + (xy 134.5535 162.258) + (xy 134.4325 162.258) + (xy 134.384934 162.240687) + (xy 134.359624 162.19685) + (xy 134.3585 162.184) + (xy 134.3585 161.725246) + (xy 134.3585 161.72524) + (xy 134.348573 161.657107) + (xy 134.297198 161.552017) + (xy 134.214483 161.469302) + (xy 134.214482 161.469301) + (xy 134.109394 161.417927) + (xy 134.041264 161.408) + (xy 134.04126 161.408) + (xy 133.67474 161.408) + (xy 133.674735 161.408) + (xy 133.606606 161.417927) + (xy 133.606605 161.417927) + (xy 133.501517 161.469301) + (xy 133.418801 161.552017) + (xy 133.367427 161.657105) + (xy 133.367427 161.657106) + (xy 133.3575 161.725235) + (xy 133.3575 163.441764) + (xy 133.367427 163.509893) + (xy 133.367427 163.509894) + (xy 133.418801 163.614982) + (xy 133.418802 163.614983) + (xy 133.501517 163.697698) + (xy 133.606607 163.749073) + (xy 133.67474 163.759) + (xy 133.674746 163.759) + (xy 134.041254 163.759) + (xy 134.04126 163.759) + (xy 134.109393 163.749073) + (xy 134.214483 163.697698) + (xy 134.297198 163.614983) + (xy 134.348573 163.509893) + (xy 134.3585 163.44176) + (xy 134.3585 162.983) + (xy 134.375813 162.935434) + (xy 134.41965 162.910124) + (xy 134.4325 162.909) + (xy 134.5535 162.909) + (xy 134.601066 162.926313) + (xy 134.626376 162.97015) + (xy 134.6275 162.983) + (xy 134.6275 163.441764) + (xy 134.637427 163.509893) + (xy 134.637427 163.509894) + (xy 134.688801 163.614982) + (xy 134.688802 163.614983) + (xy 134.771517 163.697698) + (xy 134.876607 163.749073) + (xy 134.94474 163.759) + (xy 134.944746 163.759) + (xy 135.311254 163.759) + (xy 135.31126 163.759) + (xy 135.379393 163.749073) + (xy 135.484483 163.697698) + (xy 135.567198 163.614983) + (xy 135.618573 163.509893) + (xy 135.628499 163.441764) + (xy 135.8975 163.441764) + (xy 135.907427 163.509893) + (xy 135.907427 163.509894) + (xy 135.958801 163.614982) + (xy 135.958802 163.614983) + (xy 136.041517 163.697698) + (xy 136.146607 163.749073) + (xy 136.21474 163.759) + (xy 136.214746 163.759) + (xy 136.581254 163.759) + (xy 136.58126 163.759) + (xy 136.649393 163.749073) + (xy 136.754483 163.697698) + (xy 136.837198 163.614983) + (xy 136.888573 163.509893) + (xy 136.8985 163.44176) + (xy 136.8985 162.982999) + (xy 136.915813 162.935434) + (xy 136.95965 162.910124) + (xy 136.9725 162.909) + (xy 137.0935 162.909) + (xy 137.141066 162.926313) + (xy 137.166376 162.97015) + (xy 137.1675 162.983) + (xy 137.1675 163.441764) + (xy 137.177427 163.509893) + (xy 137.177427 163.509894) + (xy 137.228801 163.614982) + (xy 137.228802 163.614983) + (xy 137.311517 163.697698) + (xy 137.416607 163.749073) + (xy 137.48474 163.759) + (xy 137.484746 163.759) + (xy 137.851254 163.759) + (xy 137.85126 163.759) + (xy 137.919393 163.749073) + (xy 138.024483 163.697698) + (xy 138.107198 163.614983) + (xy 138.158573 163.509893) + (xy 138.1685 163.44176) + (xy 138.1685 162.983) + (xy 138.185813 162.935434) + (xy 138.22965 162.910124) + (xy 138.2425 162.909) + (xy 138.6965 162.909) + (xy 138.744066 162.926313) + (xy 138.769376 162.97015) + (xy 138.7705 162.983) + (xy 138.7705 163.267256) + (xy 138.773275 163.296849) + (xy 138.773275 163.296851) + (xy 138.773276 163.296854) + (xy 138.816882 163.421473) + (xy 138.832 163.441957) + (xy 138.895289 163.527711) + (xy 138.942041 163.562215) + (xy 139.001526 163.606117) + (xy 139.126145 163.649723) + (xy 139.126151 163.649725) + (xy 139.155744 163.6525) + (xy 139.155751 163.6525) + (xy 139.736249 163.6525) + (xy 139.736256 163.6525) + (xy 139.765849 163.649725) + (xy 139.822532 163.62989) + (xy 139.890473 163.606117) + (xy 139.890473 163.606116) + (xy 139.890475 163.606116) + (xy 139.996711 163.527711) + (xy 140.073579 163.423556) + (xy 140.115754 163.395566) + (xy 140.133119 163.3935) + (xy 140.172358 163.3935) + (xy 140.219924 163.410813) + (xy 140.231066 163.422452) + (xy 140.287715 163.496279) + (xy 140.28772 163.496284) + (xy 140.328677 163.527711) + (xy 140.413159 163.592536) + (xy 140.559238 163.653044) + (xy 140.716 163.673682) + (xy 140.872762 163.653044) + (xy 141.018841 163.592536) + (xy 141.144282 163.496282) + (xy 141.240536 163.370841) + (xy 141.301044 163.224762) + (xy 141.321682 163.068) + (xy 141.301044 162.911238) + (xy 141.240536 162.765159) + (xy 141.195258 162.706151) + (xy 141.144284 162.63972) + (xy 141.144279 162.639715) + (xy 141.018841 162.543464) + (xy 140.872761 162.482955) + (xy 140.727416 162.463821) + (xy 140.716 162.462318) + (xy 140.715999 162.462318) + (xy 140.559239 162.482955) + (xy 140.559238 162.482955) + (xy 140.413158 162.543464) + (xy 140.28772 162.639715) + (xy 140.287718 162.639717) + (xy 140.252541 162.685561) + (xy 140.209849 162.712758) + (xy 140.159663 162.706151) + (xy 140.125466 162.66883) + (xy 140.120157 162.647425) + (xy 140.118725 162.632151) + (xy 140.104905 162.592656) + (xy 140.075117 162.507526) + (xy 140.054576 162.479694) + (xy 139.996711 162.401289) + (xy 139.933776 162.354841) + (xy 139.890473 162.322882) + (xy 139.765854 162.279276) + (xy 139.765855 162.279276) + (xy 139.76585 162.279275) + (xy 139.765849 162.279275) + (xy 139.736256 162.2765) + (xy 139.73625 162.2765) + (xy 139.20095 162.2765) + (xy 139.184495 162.273598) + (xy 139.184418 162.274036) + (xy 139.137114 162.265693) + (xy 139.133964 162.264995) + (xy 139.093806 162.254235) + (xy 139.093805 162.254235) + (xy 139.060818 162.257122) + (xy 139.052394 162.257859) + (xy 139.049174 162.258) + (xy 138.2425 162.258) + (xy 138.194934 162.240687) + (xy 138.169624 162.19685) + (xy 138.1685 162.184) + (xy 138.1685 161.725246) + (xy 138.1685 161.72524) + (xy 138.158573 161.657107) + (xy 138.107198 161.552017) + (xy 138.024483 161.469302) + (xy 138.024482 161.469301) + (xy 137.919394 161.417927) + (xy 137.851264 161.408) + (xy 137.85126 161.408) + (xy 137.48474 161.408) + (xy 137.484735 161.408) + (xy 137.416606 161.417927) + (xy 137.416605 161.417927) + (xy 137.311517 161.469301) + (xy 137.228801 161.552017) + (xy 137.177427 161.657105) + (xy 137.177427 161.657106) + (xy 137.1675 161.725235) + (xy 137.1675 162.184) + (xy 137.150187 162.231566) + (xy 137.10635 162.256876) + (xy 137.0935 162.258) + (xy 136.9725 162.258) + (xy 136.924934 162.240687) + (xy 136.899624 162.19685) + (xy 136.8985 162.184) + (xy 136.8985 161.725246) + (xy 136.8985 161.72524) + (xy 136.888573 161.657107) + (xy 136.837198 161.552017) + (xy 136.754483 161.469302) + (xy 136.754482 161.469301) + (xy 136.649394 161.417927) + (xy 136.581264 161.408) + (xy 136.58126 161.408) + (xy 136.21474 161.408) + (xy 136.214735 161.408) + (xy 136.146606 161.417927) + (xy 136.146605 161.417927) + (xy 136.041517 161.469301) + (xy 135.958801 161.552017) + (xy 135.907427 161.657105) + (xy 135.907427 161.657106) + (xy 135.8975 161.725235) + (xy 135.8975 163.441764) + (xy 135.628499 163.441764) + (xy 135.6285 163.44176) + (xy 135.6285 161.72524) + (xy 135.618573 161.657107) + (xy 135.567198 161.552017) + (xy 135.484483 161.469302) + (xy 135.480147 161.464966) + (xy 135.480957 161.464155) + (xy 135.455848 161.427581) + (xy 135.4535 161.409089) + (xy 135.4535 161.3395) + (xy 138.571001 161.3395) + (xy 138.571001 161.453881) + (xy 138.573844 161.490022) + (xy 138.618781 161.644695) + (xy 138.700772 161.783334) + (xy 138.814665 161.897227) + (xy 138.953304 161.979218) + (xy 139.107979 162.024155) + (xy 139.107976 162.024155) + (xy 139.144117 162.026999) + (xy 139.246 162.026999) + (xy 139.246 161.3395) + (xy 139.646 161.3395) + (xy 139.646 162.026999) + (xy 139.747882 162.026999) + (xy 139.784022 162.024155) + (xy 139.938695 161.979218) + (xy 140.077334 161.897227) + (xy 140.191227 161.783334) + (xy 140.273218 161.644695) + (xy 140.318155 161.490022) + (xy 140.321 161.453882) + (xy 140.321 161.3395) + (xy 139.646 161.3395) + (xy 139.246 161.3395) + (xy 138.571001 161.3395) + (xy 135.4535 161.3395) + (xy 135.4535 161.201478) + (xy 135.470813 161.153912) + (xy 135.475174 161.149152) + (xy 135.684826 160.9395) + (xy 138.571 160.9395) + (xy 139.246 160.9395) + (xy 139.246 160.252) + (xy 139.646 160.252) + (xy 139.646 160.9395) + (xy 140.320999 160.9395) + (xy 140.320999 160.825118) + (xy 140.318155 160.788977) + (xy 140.273218 160.634304) + (xy 140.191227 160.495665) + (xy 140.077334 160.381772) + (xy 139.938695 160.299781) + (xy 139.78402 160.254844) + (xy 139.784023 160.254844) + (xy 139.747882 160.252) + (xy 139.646 160.252) + (xy 139.246 160.252) + (xy 139.144118 160.252) + (xy 139.107977 160.254844) + (xy 138.953304 160.299781) + (xy 138.814665 160.381772) + (xy 138.700772 160.495665) + (xy 138.618781 160.634304) + (xy 138.573844 160.788977) + (xy 138.571 160.825117) + (xy 138.571 160.9395) + (xy 135.684826 160.9395) + (xy 137.793653 158.830674) + (xy 137.839529 158.809282) + (xy 137.845979 158.809) + (xy 137.851254 158.809) + (xy 137.85126 158.809) + (xy 137.919393 158.799073) + (xy 138.024483 158.747698) + (xy 138.107198 158.664983) + (xy 138.158573 158.559893) + (xy 138.1685 158.49176) + (xy 138.1685 156.77524) + (xy 138.158573 156.707107) + (xy 138.107198 156.602017) + (xy 138.024483 156.519302) + (xy 138.020147 156.514966) + (xy 138.020957 156.514155) + (xy 137.995848 156.477581) + (xy 137.9935 156.459089) + (xy 137.9935 156.230752) + (xy 138.010813 156.183186) + (xy 138.043056 156.160906) + (xy 138.112475 156.136616) + (xy 138.218711 156.058211) + (xy 138.297116 155.951975) + (xy 138.299045 155.946464) + (xy 138.321245 155.88302) + (xy 138.340725 155.827349) + (xy 138.3435 155.797756) + (xy 138.3435 155.192244) + (xy 138.340725 155.162651) + (xy 138.320719 155.105478) + (xy 138.297117 155.038026) + (xy 138.23645 154.955825) + (xy 138.22477 154.939999) + (xy 168.396284 154.939999) + (xy 168.415117 155.179301) + (xy 168.471152 155.412706) + (xy 168.563008 155.634469) + (xy 168.563015 155.634482) + (xy 168.688432 155.839142) + (xy 168.688433 155.839143) + (xy 168.714036 155.86912) + (xy 169.199266 155.38389) + (xy 169.218316 155.419822) + (xy 169.338009 155.560735) + (xy 169.480094 155.668746) + (xy 168.996878 156.151962) + (xy 168.996878 156.151963) + (xy 169.026846 156.177559) + (xy 169.02686 156.177569) + (xy 169.231517 156.302984) + (xy 169.23153 156.302991) + (xy 169.453293 156.394847) + (xy 169.686698 156.450882) + (xy 169.925999 156.469715) + (xy 170.165301 156.450882) + (xy 170.398706 156.394847) + (xy 170.620469 156.302991) + (xy 170.620482 156.302984) + (xy 170.825139 156.177569) + (xy 170.825152 156.177559) + (xy 170.85512 156.151963) + (xy 170.368756 155.665599) + (xy 170.443431 155.620669) + (xy 170.577658 155.493523) + (xy 170.65229 155.383447) + (xy 171.137963 155.86912) + (xy 171.163559 155.839152) + (xy 171.163569 155.839139) + (xy 171.288984 155.634482) + (xy 171.288991 155.634469) + (xy 171.380847 155.412706) + (xy 171.436882 155.179301) + (xy 171.455715 154.939999) + (xy 173.476284 154.939999) + (xy 173.495117 155.179301) + (xy 173.551152 155.412706) + (xy 173.643008 155.634469) + (xy 173.643015 155.634482) + (xy 173.768432 155.839142) + (xy 173.768433 155.839143) + (xy 173.794036 155.86912) + (xy 174.279266 155.383889) + (xy 174.298316 155.419822) + (xy 174.418009 155.560735) + (xy 174.560094 155.668746) + (xy 174.076878 156.151962) + (xy 174.076878 156.151963) + (xy 174.106846 156.177559) + (xy 174.10686 156.177569) + (xy 174.311517 156.302984) + (xy 174.31153 156.302991) + (xy 174.533293 156.394847) + (xy 174.766698 156.450882) + (xy 175.006 156.469715) + (xy 175.245301 156.450882) + (xy 175.478706 156.394847) + (xy 175.700469 156.302991) + (xy 175.700482 156.302984) + (xy 175.905139 156.177569) + (xy 175.905152 156.177559) + (xy 175.93512 156.151963) + (xy 175.448756 155.665599) + (xy 175.523431 155.620669) + (xy 175.657658 155.493523) + (xy 175.73229 155.383447) + (xy 176.217963 155.86912) + (xy 176.243559 155.839152) + (xy 176.243569 155.839139) + (xy 176.368984 155.634482) + (xy 176.368991 155.634469) + (xy 176.460847 155.412706) + (xy 176.516882 155.179301) + (xy 176.535715 154.939999) + (xy 176.516882 154.700698) + (xy 176.460847 154.467293) + (xy 176.368991 154.24553) + (xy 176.368984 154.245517) + (xy 176.243569 154.04086) + (xy 176.243559 154.040846) + (xy 176.217963 154.010878) + (xy 176.217962 154.010878) + (xy 175.732732 154.496107) + (xy 175.713684 154.460178) + (xy 175.593991 154.319265) + (xy 175.451903 154.211252) + (xy 175.93512 153.728036) + (xy 175.905143 153.702433) + (xy 175.905142 153.702432) + (xy 175.700482 153.577015) + (xy 175.700469 153.577008) + (xy 175.478706 153.485152) + (xy 175.245301 153.429117) + (xy 175.006 153.410284) + (xy 174.766698 153.429117) + (xy 174.533293 153.485152) + (xy 174.31153 153.577008) + (xy 174.311517 153.577015) + (xy 174.106855 153.702433) + (xy 174.10685 153.702436) + (xy 174.076878 153.728035) + (xy 174.563243 154.2144) + (xy 174.488569 154.259331) + (xy 174.354342 154.386477) + (xy 174.279709 154.496552) + (xy 173.794035 154.010878) + (xy 173.768436 154.04085) + (xy 173.768433 154.040855) + (xy 173.643015 154.245517) + (xy 173.643008 154.24553) + (xy 173.551152 154.467293) + (xy 173.495117 154.700698) + (xy 173.476284 154.939999) + (xy 171.455715 154.939999) + (xy 171.436882 154.700698) + (xy 171.380847 154.467293) + (xy 171.288991 154.24553) + (xy 171.288984 154.245517) + (xy 171.163569 154.04086) + (xy 171.163559 154.040846) + (xy 171.137963 154.010878) + (xy 171.137962 154.010878) + (xy 170.652732 154.496107) + (xy 170.633684 154.460178) + (xy 170.513991 154.319265) + (xy 170.371903 154.211252) + (xy 170.85512 153.728036) + (xy 170.825143 153.702433) + (xy 170.825142 153.702432) + (xy 170.620482 153.577015) + (xy 170.620469 153.577008) + (xy 170.398706 153.485152) + (xy 170.165301 153.429117) + (xy 169.926 153.410284) + (xy 169.686698 153.429117) + (xy 169.453293 153.485152) + (xy 169.23153 153.577008) + (xy 169.231517 153.577015) + (xy 169.026855 153.702433) + (xy 169.02685 153.702436) + (xy 168.996878 153.728035) + (xy 169.483243 154.2144) + (xy 169.408569 154.259331) + (xy 169.274342 154.386477) + (xy 169.199709 154.496552) + (xy 168.714035 154.010878) + (xy 168.688436 154.04085) + (xy 168.688433 154.040855) + (xy 168.563015 154.245517) + (xy 168.563008 154.24553) + (xy 168.471152 154.467293) + (xy 168.415117 154.700698) + (xy 168.396284 154.939999) + (xy 138.22477 154.939999) + (xy 138.218711 154.931789) + (xy 138.134579 154.869697) + (xy 138.112473 154.853382) + (xy 137.987854 154.809776) + (xy 137.987855 154.809776) + (xy 137.98785 154.809775) + (xy 137.987849 154.809775) + (xy 137.958256 154.807) + (xy 137.377744 154.807) + (xy 137.348151 154.809775) + (xy 137.348149 154.809775) + (xy 137.348145 154.809776) + (xy 137.223526 154.853382) + (xy 137.11729 154.931788) + (xy 137.117288 154.93179) + (xy 137.038882 155.038026) + (xy 136.995276 155.162645) + (xy 136.995275 155.162649) + (xy 136.995275 155.162651) + (xy 136.9925 155.192244) + (xy 136.9925 155.797756) + (xy 136.995275 155.827349) + (xy 136.995275 155.827351) + (xy 136.995276 155.827354) + (xy 137.038882 155.951973) + (xy 137.067349 155.990544) + (xy 137.117289 156.058211) + (xy 137.223525 156.136616) + (xy 137.292942 156.160906) + (xy 137.332119 156.192955) + (xy 137.3425 156.230752) + (xy 137.3425 156.459089) + (xy 137.325187 156.506655) + (xy 137.311568 156.51925) + (xy 137.228801 156.602017) + (xy 137.177427 156.707105) + (xy 137.177427 156.707106) + (xy 137.1675 156.775235) + (xy 137.1675 158.491753) + (xy 137.167501 158.491775) + (xy 137.167796 158.493799) + (xy 137.167686 158.494326) + (xy 137.167694 158.494431) + (xy 137.167664 158.494433) + (xy 137.157512 158.543362) + (xy 137.146893 158.556779) + (xy 136.958053 158.745619) + (xy 136.912177 158.767011) + (xy 136.863282 158.75391) + (xy 136.834248 158.712446) + (xy 136.83866 158.662019) + (xy 136.83921 158.660866) + (xy 136.888573 158.559893) + (xy 136.8985 158.49176) + (xy 136.8985 156.77524) + (xy 136.888573 156.707107) + (xy 136.837198 156.602017) + (xy 136.754483 156.519302) + (xy 136.750147 156.514966) + (xy 136.750957 156.514155) + (xy 136.725848 156.477581) + (xy 136.7235 156.459089) + (xy 136.7235 155.105478) + (xy 136.740813 155.057912) + (xy 136.745174 155.053152) + (xy 137.418653 154.379674) + (xy 137.46453 154.358282) + (xy 137.470979 154.358) + (xy 137.958249 154.358) + (xy 137.958256 154.358) + (xy 137.987849 154.355225) + (xy 138.090616 154.319265) + (xy 138.112473 154.311617) + (xy 138.112473 154.311616) + (xy 138.112475 154.311616) + (xy 138.218711 154.233211) + (xy 138.297116 154.126975) + (xy 138.304495 154.105889) + (xy 138.323054 154.052849) + (xy 138.340725 154.002349) + (xy 138.3435 153.972756) + (xy 138.3435 153.485477) + (xy 138.360813 153.437911) + (xy 138.365163 153.433162) + (xy 139.39832 152.400005) + (xy 171.235819 152.400005) + (xy 171.254507 152.613615) + (xy 171.254508 152.613622) + (xy 171.310005 152.820739) + (xy 171.310007 152.820743) + (xy 171.310008 152.820747) + (xy 171.400632 153.015091) + (xy 171.523627 153.190745) + (xy 171.675255 153.342373) + (xy 171.850909 153.465368) + (xy 172.045253 153.555992) + (xy 172.045259 153.555993) + (xy 172.04526 153.555994) + (xy 172.252377 153.611491) + (xy 172.252381 153.611492) + (xy 172.332488 153.6185) + (xy 172.465995 153.630181) + (xy 172.466 153.630181) + (xy 172.466005 153.630181) + (xy 172.584677 153.619798) + (xy 172.679619 153.611492) + (xy 172.886747 153.555992) + (xy 173.081091 153.465368) + (xy 173.256745 153.342373) + (xy 173.408373 153.190745) + (xy 173.531368 153.015091) + (xy 173.621992 152.820747) + (xy 173.677492 152.613619) + (xy 173.689055 152.481451) + (xy 173.696181 152.400005) + (xy 173.696181 152.399994) + (xy 173.681128 152.227938) + (xy 173.677492 152.186381) + (xy 173.67418 152.174022) + (xy 173.621993 151.979256) + (xy 173.62119 151.977534) + (xy 173.531368 151.78491) + (xy 173.408373 151.609255) + (xy 173.256745 151.457627) + (xy 173.256742 151.457625) + (xy 173.256743 151.457625) + (xy 173.150444 151.383194) + (xy 173.081091 151.334632) + (xy 172.886747 151.244008) + (xy 172.886743 151.244007) + (xy 172.886739 151.244005) + (xy 172.679622 151.188508) + (xy 172.679615 151.188507) + (xy 172.466005 151.169819) + (xy 172.465995 151.169819) + (xy 172.252384 151.188507) + (xy 172.252376 151.188508) + (xy 172.045256 151.244006) + (xy 171.942312 151.29201) + (xy 171.85091 151.334632) + (xy 171.82704 151.351346) + (xy 171.675257 151.457625) + (xy 171.523625 151.609257) + (xy 171.449553 151.715044) + (xy 171.400632 151.78491) + (xy 171.378567 151.832229) + (xy 171.310006 151.979256) + (xy 171.254508 152.186376) + (xy 171.254507 152.186384) + (xy 171.235819 152.399994) + (xy 171.235819 152.400005) + (xy 139.39832 152.400005) + (xy 141.938325 149.86) + (xy 168.396284 149.86) + (xy 168.415117 150.099301) + (xy 168.471152 150.332706) + (xy 168.563008 150.554469) + (xy 168.563015 150.554482) + (xy 168.688432 150.759142) + (xy 168.688433 150.759143) + (xy 168.714036 150.78912) + (xy 169.199266 150.30389) + (xy 169.218316 150.339822) + (xy 169.338009 150.480735) + (xy 169.480094 150.588746) + (xy 168.996878 151.071962) + (xy 168.996878 151.071963) + (xy 169.026846 151.097559) + (xy 169.02686 151.097569) + (xy 169.231517 151.222984) + (xy 169.23153 151.222991) + (xy 169.453293 151.314847) + (xy 169.686698 151.370882) + (xy 169.925999 151.389715) + (xy 170.165301 151.370882) + (xy 170.398706 151.314847) + (xy 170.620469 151.222991) + (xy 170.620482 151.222984) + (xy 170.825139 151.097569) + (xy 170.825152 151.097559) + (xy 170.85512 151.071963) + (xy 170.368756 150.585599) + (xy 170.443431 150.540669) + (xy 170.577658 150.413523) + (xy 170.65229 150.303447) + (xy 171.137963 150.78912) + (xy 171.163559 150.759152) + (xy 171.163569 150.759139) + (xy 171.288984 150.554482) + (xy 171.288991 150.554469) + (xy 171.380847 150.332706) + (xy 171.436882 150.099301) + (xy 171.455715 149.86) + (xy 173.476284 149.86) + (xy 173.495117 150.099301) + (xy 173.551152 150.332706) + (xy 173.643008 150.554469) + (xy 173.643015 150.554482) + (xy 173.768432 150.759142) + (xy 173.768433 150.759143) + (xy 173.794036 150.78912) + (xy 174.279266 150.30389) + (xy 174.298316 150.339822) + (xy 174.418009 150.480735) + (xy 174.560094 150.588746) + (xy 174.076878 151.071962) + (xy 174.076878 151.071963) + (xy 174.106846 151.097559) + (xy 174.10686 151.097569) + (xy 174.311517 151.222984) + (xy 174.31153 151.222991) + (xy 174.533293 151.314847) + (xy 174.766698 151.370882) + (xy 175.006 151.389715) + (xy 175.245301 151.370882) + (xy 175.478706 151.314847) + (xy 175.700469 151.222991) + (xy 175.700482 151.222984) + (xy 175.905139 151.097569) + (xy 175.905152 151.097559) + (xy 175.93512 151.071963) + (xy 175.448756 150.585599) + (xy 175.523431 150.540669) + (xy 175.657658 150.413523) + (xy 175.73229 150.303447) + (xy 176.217963 150.78912) + (xy 176.243559 150.759152) + (xy 176.243569 150.759139) + (xy 176.368984 150.554482) + (xy 176.368991 150.554469) + (xy 176.460847 150.332706) + (xy 176.516882 150.099301) + (xy 176.535715 149.86) + (xy 176.516882 149.620698) + (xy 176.460847 149.387293) + (xy 176.368991 149.16553) + (xy 176.368984 149.165517) + (xy 176.243569 148.96086) + (xy 176.243559 148.960846) + (xy 176.217963 148.930878) + (xy 176.217962 148.930878) + (xy 175.732732 149.416107) + (xy 175.713684 149.380178) + (xy 175.593991 149.239265) + (xy 175.451903 149.131252) + (xy 175.93512 148.648036) + (xy 175.905143 148.622433) + (xy 175.905142 148.622432) + (xy 175.700482 148.497015) + (xy 175.700469 148.497008) + (xy 175.478706 148.405152) + (xy 175.245301 148.349117) + (xy 175.006 148.330284) + (xy 174.766698 148.349117) + (xy 174.533293 148.405152) + (xy 174.31153 148.497008) + (xy 174.311517 148.497015) + (xy 174.106855 148.622433) + (xy 174.10685 148.622436) + (xy 174.076878 148.648035) + (xy 174.563243 149.1344) + (xy 174.488569 149.179331) + (xy 174.354342 149.306477) + (xy 174.279709 149.416552) + (xy 173.794035 148.930878) + (xy 173.768436 148.96085) + (xy 173.768433 148.960855) + (xy 173.643015 149.165517) + (xy 173.643008 149.16553) + (xy 173.551152 149.387293) + (xy 173.495117 149.620698) + (xy 173.476284 149.86) + (xy 171.455715 149.86) + (xy 171.436882 149.620698) + (xy 171.380847 149.387293) + (xy 171.288991 149.16553) + (xy 171.288984 149.165517) + (xy 171.163569 148.96086) + (xy 171.163559 148.960846) + (xy 171.137963 148.930878) + (xy 171.137962 148.930878) + (xy 170.652732 149.416107) + (xy 170.633684 149.380178) + (xy 170.513991 149.239265) + (xy 170.371903 149.131252) + (xy 170.85512 148.648036) + (xy 170.825143 148.622433) + (xy 170.825142 148.622432) + (xy 170.620482 148.497015) + (xy 170.620469 148.497008) + (xy 170.398706 148.405152) + (xy 170.165301 148.349117) + (xy 169.925999 148.330284) + (xy 169.686698 148.349117) + (xy 169.453293 148.405152) + (xy 169.23153 148.497008) + (xy 169.231517 148.497015) + (xy 169.026855 148.622433) + (xy 169.02685 148.622436) + (xy 168.996878 148.648035) + (xy 169.483243 149.1344) + (xy 169.408569 149.179331) + (xy 169.274342 149.306477) + (xy 169.199709 149.416552) + (xy 168.714035 148.930878) + (xy 168.688436 148.96085) + (xy 168.688433 148.960855) + (xy 168.563015 149.165517) + (xy 168.563008 149.16553) + (xy 168.471152 149.387293) + (xy 168.415117 149.620698) + (xy 168.396284 149.86) + (xy 141.938325 149.86) + (xy 146.282983 145.515341) + (xy 146.285329 145.513191) + (xy 146.317194 145.486455) + (xy 146.33798 145.45045) + (xy 146.339712 145.447733) + (xy 146.35235 145.429684) + (xy 146.363554 145.413684) + (xy 146.364794 145.409054) + (xy 146.372193 145.391192) + (xy 146.374588 145.387045) + (xy 146.381807 145.3461) + (xy 146.382504 145.34296) + (xy 146.393264 145.302807) + (xy 146.38964 145.261395) + (xy 146.3895 145.258173) + (xy 146.3895 133.405384) + (xy 152.81 133.405384) + (xy 152.820468 133.568913) + (xy 152.875969 133.841431) + (xy 152.875973 133.841446) + (xy 152.970563 134.102979) + (xy 152.970566 134.102986) + (xy 153.102223 134.347954) + (xy 153.102234 134.347971) + (xy 153.268168 134.571173) + (xy 153.464826 134.767831) + (xy 153.688028 134.933765) + (xy 153.688045 134.933776) + (xy 153.933013 135.065433) + (xy 153.93302 135.065436) + (xy 154.194553 135.160026) + (xy 154.194568 135.16003) + (xy 154.467086 135.215531) + (xy 154.630615 135.225999) + (xy 154.630625 135.226) + (xy 156.01 135.226) + (xy 156.01 134.069359) + (xy 156.05938 134.076) + (xy 156.285228 134.076) + (xy 156.41 134.067647) + (xy 156.41 135.226) + (xy 157.789375 135.226) + (xy 157.789384 135.225999) + (xy 157.952913 135.215531) + (xy 158.225431 135.16003) + (xy 158.225446 135.160026) + (xy 158.486979 135.065436) + (xy 158.486986 135.065433) + (xy 158.731954 134.933776) + (xy 158.731971 134.933765) + (xy 158.955173 134.767831) + (xy 159.151831 134.571173) + (xy 159.317765 134.347971) + (xy 159.317776 134.347954) + (xy 159.449433 134.102986) + (xy 159.449436 134.102979) + (xy 159.544026 133.841446) + (xy 159.54403 133.841431) + (xy 159.599531 133.568913) + (xy 159.609999 133.405384) + (xy 159.61 133.405375) + (xy 159.61 132.026) + (xy 158.454571 132.026) + (xy 158.463777 131.750648) + (xy 158.451237 131.626) + (xy 159.61 131.626) + (xy 159.61 130.246624) + (xy 159.609999 130.246615) + (xy 159.599531 130.083086) + (xy 159.54403 129.810568) + (xy 159.544026 129.810553) + (xy 159.449436 129.54902) + (xy 159.449433 129.549013) + (xy 159.317776 129.304045) + (xy 159.317765 129.304028) + (xy 159.151831 129.080826) + (xy 158.955173 128.884168) + (xy 158.731971 128.718234) + (xy 158.731954 128.718223) + (xy 158.486986 128.586566) + (xy 158.486979 128.586563) + (xy 158.225446 128.491973) + (xy 158.225431 128.491969) + (xy 157.952913 128.436468) + (xy 157.789384 128.426) + (xy 156.41 128.426) + (xy 156.41 129.58264) + (xy 156.36062 129.576) + (xy 156.134772 129.576) + (xy 156.01 129.584352) + (xy 156.01 128.426) + (xy 154.630615 128.426) + (xy 154.467086 128.436468) + (xy 154.194568 128.491969) + (xy 154.194553 128.491973) + (xy 153.93302 128.586563) + (xy 153.933013 128.586566) + (xy 153.688045 128.718223) + (xy 153.688028 128.718234) + (xy 153.464826 128.884168) + (xy 153.268168 129.080826) + (xy 153.102234 129.304028) + (xy 153.102223 129.304045) + (xy 152.970566 129.549013) + (xy 152.970563 129.54902) + (xy 152.875973 129.810553) + (xy 152.875969 129.810568) + (xy 152.820468 130.083086) + (xy 152.81 130.246615) + (xy 152.81 131.626) + (xy 153.965429 131.626) + (xy 153.956223 131.901352) + (xy 153.968763 132.026) + (xy 152.81 132.026) + (xy 152.81 133.405384) + (xy 146.3895 133.405384) + (xy 146.3895 124.625999) + (xy 152.604559 124.625999) + (xy 152.62431 125.002874) + (xy 152.62431 125.002875) + (xy 152.683345 125.375606) + (xy 152.683347 125.375613) + (xy 152.781022 125.740143) + (xy 152.795876 125.778839) + (xy 152.913007 126.083977) + (xy 152.916266 126.092465) + (xy 153.087597 126.428721) + (xy 153.293137 126.745225) + (xy 153.530635 127.038511) + (xy 153.797489 127.305365) + (xy 154.090775 127.542863) + (xy 154.407279 127.748403) + (xy 154.743535 127.919734) + (xy 155.095857 128.054978) + (xy 155.460387 128.152653) + (xy 155.833129 128.21169) + (xy 156.21 128.231441) + (xy 156.586871 128.21169) + (xy 156.959613 128.152653) + (xy 157.324143 128.054978) + (xy 157.676465 127.919734) + (xy 158.012721 127.748403) + (xy 158.329225 127.542863) + (xy 158.622511 127.305365) + (xy 158.889365 127.038511) + (xy 159.126863 126.745225) + (xy 159.332403 126.428721) + (xy 159.503734 126.092465) + (xy 159.638978 125.740143) + (xy 159.736653 125.375613) + (xy 159.79569 125.002871) + (xy 159.815441 124.626) + (xy 159.79569 124.249129) + (xy 159.736653 123.876387) + (xy 159.638978 123.511857) + (xy 159.503734 123.159535) + (xy 159.503732 123.159532) + (xy 159.503731 123.159528) + (xy 159.503728 123.159522) + (xy 159.332408 122.823288) + (xy 159.245039 122.688751) + (xy 159.126863 122.506775) + (xy 158.889365 122.213489) + (xy 158.622511 121.946635) + (xy 158.329225 121.709137) + (xy 158.012721 121.503597) + (xy 157.676465 121.332266) + (xy 157.67646 121.332264) + (xy 157.676458 121.332263) + (xy 157.334201 121.200883) + (xy 157.324143 121.197022) + (xy 156.959613 121.099347) + (xy 156.959614 121.099347) + (xy 156.959606 121.099345) + (xy 156.586875 121.04031) + (xy 156.21 121.020559) + (xy 155.833125 121.04031) + (xy 155.833124 121.04031) + (xy 155.460393 121.099345) + (xy 155.217367 121.164463) + (xy 155.095857 121.197022) + (xy 155.095855 121.197022) + (xy 155.095854 121.197023) + (xy 154.743528 121.332268) + (xy 154.743522 121.332271) + (xy 154.407288 121.503591) + (xy 154.090773 121.709138) + (xy 153.797486 121.946637) + (xy 153.530637 122.213486) + (xy 153.293138 122.506773) + (xy 153.087591 122.823288) + (xy 152.916271 123.159522) + (xy 152.916268 123.159528) + (xy 152.784219 123.503528) + (xy 152.781022 123.511857) + (xy 152.757319 123.600318) + (xy 152.683345 123.876393) + (xy 152.62431 124.249124) + (xy 152.62431 124.249125) + (xy 152.604559 124.625999) + (xy 146.3895 124.625999) + (xy 146.3895 124.246238) + (xy 146.406813 124.198672) + (xy 146.45065 124.173362) + (xy 146.4635 124.172238) + (xy 146.833746 124.172238) + (xy 146.833748 124.172238) + (xy 146.892231 124.160605) + (xy 146.958552 124.11629) + (xy 147.002867 124.049969) + (xy 147.0145 123.991486) + (xy 147.0145 121.95199) + (xy 147.002867 121.893507) + (xy 146.958552 121.827186) + (xy 146.936343 121.812346) + (xy 146.892232 121.782871) + (xy 146.892233 121.782871) + (xy 146.862989 121.777054) + (xy 146.833748 121.771238) + (xy 146.833746 121.771238) + (xy 146.7385 121.771238) + (xy 146.690934 121.753925) + (xy 146.665624 121.710088) + (xy 146.6645 121.697238) + (xy 146.6645 120.667294) + (xy 146.664817 120.66246) + (xy 146.665251 120.659161) + (xy 146.669683 120.6255) + (xy 146.649044 120.468738) + (xy 146.588536 120.322659) + (xy 146.51645 120.228715) + (xy 146.516448 120.228712) + (xy 146.492286 120.197222) + (xy 146.492284 120.19722) + (xy 146.492282 120.197219) + (xy 146.492282 120.197218) + (xy 146.462705 120.174523) + (xy 146.459069 120.171334) + (xy 146.211174 119.923438) + (xy 146.189782 119.877561) + (xy 146.1895 119.871112) + (xy 146.1895 118.73374) + (xy 146.1895 118.733739) + (xy 146.1895 118.733734) + (xy 146.186646 118.703301) + (xy 146.141793 118.575118) + (xy 146.136537 118.567996) + (xy 146.061153 118.465853) + (xy 146.061146 118.465846) + (xy 145.951883 118.385207) + (xy 145.951881 118.385206) + (xy 145.823704 118.340355) + (xy 145.823705 118.340355) + (xy 145.8237 118.340354) + (xy 145.823699 118.340354) + (xy 145.793266 118.3375) + (xy 145.79326 118.3375) + (xy 145.379387 118.3375) + (xy 145.331821 118.320187) + (xy 145.327061 118.315826) + (xy 144.894174 117.882938) + (xy 144.872782 117.837061) + (xy 144.8725 117.830612) + (xy 144.8725 113.279003) + (xy 154.754529 113.279003) + (xy 154.774378 113.518556) + (xy 154.774378 113.518557) + (xy 154.833392 113.751597) + (xy 154.929951 113.971728) + (xy 155.010024 114.094289) + (xy 155.061429 114.172969) + (xy 155.175112 114.296462) + (xy 155.201516 114.325145) + (xy 155.224236 114.349825) + (xy 155.413933 114.497472) + (xy 155.625344 114.611882) + (xy 155.852703 114.689934) + (xy 156.089808 114.7295) + (xy 156.330192 114.7295) + (xy 156.567297 114.689934) + (xy 156.794656 114.611882) + (xy 157.006067 114.497472) + (xy 157.195764 114.349825) + (xy 157.358571 114.172969) + (xy 157.490049 113.971728) + (xy 157.58661 113.751591) + (xy 157.64562 113.518563) + (xy 157.64562 113.518559) + (xy 157.645621 113.518557) + (xy 157.645621 113.518556) + (xy 157.665471 113.279003) + (xy 157.665471 113.278996) + (xy 157.645621 113.039443) + (xy 157.645621 113.039442) + (xy 157.64562 113.039437) + (xy 157.58661 112.806409) + (xy 157.490049 112.586272) + (xy 157.358571 112.385031) + (xy 157.195764 112.208175) + (xy 157.078784 112.117126) + (xy 157.051885 112.074252) + (xy 157.058837 112.024112) + (xy 157.096392 111.990173) + (xy 157.112664 111.985644) + (xy 157.185148 111.974165) + (xy 157.185149 111.974164) + (xy 157.298046 111.916639) + (xy 157.387639 111.827046) + (xy 157.445164 111.714149) + (xy 157.445164 111.714148) + (xy 157.46 111.620481) + (xy 157.46 110.939) + (xy 156.672383 110.939) + (xy 156.71 110.810889) + (xy 156.71 110.667111) + (xy 156.672383 110.539) + (xy 157.459999 110.539) + (xy 157.459999 109.857517) + (xy 157.445165 109.763851) + (xy 157.445164 109.76385) + (xy 157.387639 109.650953) + (xy 157.298046 109.56136) + (xy 157.185149 109.503835) + (xy 157.091482 109.489) + (xy 156.41 109.489) + (xy 156.41 110.280664) + (xy 156.352315 110.25432) + (xy 156.245763 110.239) + (xy 156.174237 110.239) + (xy 156.067685 110.25432) + (xy 156.01 110.280664) + (xy 156.01 109.489) + (xy 155.328518 109.489) + (xy 155.234851 109.503834) + (xy 155.23485 109.503835) + (xy 155.121953 109.56136) + (xy 155.03236 109.650953) + (xy 154.974835 109.76385) + (xy 154.974835 109.763851) + (xy 154.96 109.857518) + (xy 154.96 110.539) + (xy 155.747617 110.539) + (xy 155.71 110.667111) + (xy 155.71 110.810889) + (xy 155.747617 110.939) + (xy 154.960001 110.939) + (xy 154.960001 111.620482) + (xy 154.974834 111.714148) + (xy 154.974835 111.714149) + (xy 155.03236 111.827046) + (xy 155.121953 111.916639) + (xy 155.23485 111.974164) + (xy 155.234851 111.974165) + (xy 155.307333 111.985644) + (xy 155.351606 112.010184) + (xy 155.369747 112.057441) + (xy 155.353267 112.105302) + (xy 155.34121 112.117129) + (xy 155.224234 112.208176) + (xy 155.061427 112.385033) + (xy 154.929951 112.586271) + (xy 154.833392 112.806402) + (xy 154.774378 113.039442) + (xy 154.774378 113.039443) + (xy 154.754529 113.278996) + (xy 154.754529 113.279003) + (xy 144.8725 113.279003) + (xy 144.8725 112.309788) + (xy 144.872817 112.304954) + (xy 144.873302 112.301266) + (xy 144.877682 112.268) + (xy 144.857044 112.111238) + (xy 144.796536 111.965159) + (xy 144.712805 111.856038) + (xy 144.700284 111.83972) + (xy 144.700279 111.839715) + (xy 144.613586 111.773193) + (xy 144.586388 111.730501) + (xy 144.592995 111.680316) + (xy 144.599089 111.670549) + (xy 144.647116 111.605475) + (xy 144.65247 111.590174) + (xy 144.67079 111.537821) + (xy 144.702841 111.498642) + (xy 144.740637 111.488262) + (xy 145.606373 111.488262) + (xy 145.653939 111.505575) + (xy 145.67622 111.537821) + (xy 145.704884 111.619737) + (xy 145.783289 111.725973) + (xy 145.861694 111.783838) + (xy 145.889526 111.804379) + (xy 146.014145 111.847985) + (xy 146.014151 111.847987) + (xy 146.043744 111.850762) + (xy 146.043751 111.850762) + (xy 146.624249 111.850762) + (xy 146.624256 111.850762) + (xy 146.653849 111.847987) + (xy 146.713694 111.827046) + (xy 146.778473 111.804379) + (xy 146.778473 111.804378) + (xy 146.778475 111.804378) + (xy 146.884711 111.725973) + (xy 146.963116 111.619737) + (xy 147.006725 111.495111) + (xy 147.0095 111.465518) + (xy 147.0095 110.860006) + (xy 147.006725 110.830413) + (xy 147.003447 110.821044) + (xy 146.963117 110.705788) + (xy 146.932482 110.664279) + (xy 146.884711 110.599551) + (xy 146.834135 110.562224) + (xy 146.778473 110.521144) + (xy 146.653854 110.477538) + (xy 146.653855 110.477538) + (xy 146.65385 110.477537) + (xy 146.653849 110.477537) + (xy 146.624256 110.474762) + (xy 146.043744 110.474762) + (xy 146.028249 110.476215) + (xy 146.014147 110.477537) + (xy 145.964151 110.495032) + (xy 145.913536 110.4944) + (xy 145.875169 110.461382) + (xy 145.867001 110.411426) + (xy 145.871345 110.396863) + (xy 145.873044 110.392761) + (xy 145.873044 110.39276) + (xy 145.875292 110.375684) + (xy 145.893682 110.236) + (xy 145.881534 110.143733) + (xy 145.89249 110.094315) + (xy 145.902569 110.081755) + (xy 145.942208 110.042117) + (xy 145.988081 110.020727) + (xy 146.010329 110.022151) + (xy 146.014141 110.022983) + (xy 146.014151 110.022987) + (xy 146.043744 110.025762) + (xy 146.043751 110.025762) + (xy 146.624249 110.025762) + (xy 146.624256 110.025762) + (xy 146.653849 110.022987) + (xy 146.776372 109.980114) + (xy 146.778473 109.979379) + (xy 146.778473 109.979378) + (xy 146.778475 109.979378) + (xy 146.884711 109.900973) + (xy 146.963116 109.794737) + (xy 147.006725 109.670111) + (xy 147.0095 109.640518) + (xy 147.0095 109.035006) + (xy 147.006725 109.005413) + (xy 146.995375 108.972976) + (xy 146.963117 108.880788) + (xy 146.917002 108.818304) + (xy 146.884711 108.774551) + (xy 146.82641 108.731523) + (xy 146.778473 108.696144) + (xy 146.653854 108.652538) + (xy 146.653855 108.652538) + (xy 146.65385 108.652537) + (xy 146.653849 108.652537) + (xy 146.624256 108.649762) + (xy 146.043744 108.649762) + (xy 146.014151 108.652537) + (xy 146.014149 108.652537) + (xy 146.014145 108.652538) + (xy 145.889526 108.696144) + (xy 145.78329 108.77455) + (xy 145.783288 108.774552) + (xy 145.704882 108.880788) + (xy 145.661276 109.005407) + (xy 145.6585 109.035011) + (xy 145.6585 109.374521) + (xy 145.641187 109.422087) + (xy 145.636826 109.426847) + (xy 145.442249 109.621423) + (xy 145.396372 109.642815) + (xy 145.380265 109.642464) + (xy 145.288 109.630318) + (xy 145.131239 109.650955) + (xy 145.131235 109.650956) + (xy 144.995318 109.707255) + (xy 144.944748 109.709463) + (xy 144.904589 109.678648) + (xy 144.893 109.638888) + (xy 144.893 109.5235) + (xy 144.218 109.5235) + (xy 144.218 110.210999) + (xy 144.319882 110.210999) + (xy 144.356022 110.208155) + (xy 144.510696 110.163218) + (xy 144.576314 110.124411) + (xy 144.626069 110.115099) + (xy 144.67017 110.139947) + (xy 144.68798 110.187329) + (xy 144.687351 110.197762) + (xy 144.682318 110.235998) + (xy 144.682318 110.235999) + (xy 144.702955 110.39276) + (xy 144.702955 110.392761) + (xy 144.763464 110.538841) + (xy 144.859715 110.664279) + (xy 144.85972 110.664284) + (xy 144.912201 110.704554) + (xy 144.939399 110.747245) + (xy 144.932792 110.797431) + (xy 144.895472 110.831629) + (xy 144.867153 110.837262) + (xy 144.750618 110.837262) + (xy 144.703052 110.819949) + (xy 144.680771 110.787703) + (xy 144.652107 110.705788) + (xy 144.647116 110.691525) + (xy 144.568711 110.585289) + (xy 144.481797 110.521144) + (xy 144.462473 110.506882) + (xy 144.337854 110.463276) + (xy 144.337855 110.463276) + (xy 144.33785 110.463275) + (xy 144.337849 110.463275) + (xy 144.308256 110.4605) + (xy 143.727744 110.4605) + (xy 143.698151 110.463275) + (xy 143.698149 110.463275) + (xy 143.698145 110.463276) + (xy 143.573526 110.506882) + (xy 143.46729 110.585288) + (xy 143.467288 110.58529) + (xy 143.388883 110.691526) + (xy 143.36022 110.773441) + (xy 143.328169 110.81262) + (xy 143.290373 110.823) + (xy 142.359327 110.823) + (xy 142.356106 110.822859) + (xy 142.347151 110.822075) + (xy 142.314695 110.819235) + (xy 142.314694 110.819235) + (xy 142.274537 110.829995) + (xy 142.271386 110.830693) + (xy 142.230455 110.83791) + (xy 142.230454 110.837911) + (xy 142.22629 110.840315) + (xy 142.208459 110.847701) + (xy 142.203817 110.848945) + (xy 142.203812 110.848947) + (xy 142.169768 110.872785) + (xy 142.167047 110.874519) + (xy 142.131047 110.895304) + (xy 142.131043 110.895308) + (xy 142.104327 110.927145) + (xy 142.102146 110.929526) + (xy 137.44903 115.582641) + (xy 137.44665 115.584822) + (xy 137.414808 115.611542) + (xy 137.414806 115.611545) + (xy 137.394019 115.647547) + (xy 137.392284 115.650269) + (xy 137.366118 115.68764) + (xy 137.324654 115.716674) + (xy 137.274227 115.712263) + (xy 137.238434 115.676471) + (xy 137.2315 115.645196) + (xy 137.2315 114.719477) + (xy 137.248813 114.671911) + (xy 137.253174 114.667151) + (xy 140.130209 111.790116) + (xy 142.396824 109.5235) + (xy 143.143001 109.5235) + (xy 143.143001 109.637881) + (xy 143.145844 109.674022) + (xy 143.190781 109.828695) + (xy 143.272772 109.967334) + (xy 143.386665 110.081227) + (xy 143.525304 110.163218) + (xy 143.679979 110.208155) + (xy 143.679976 110.208155) + (xy 143.716117 110.210999) + (xy 143.817999 110.210999) + (xy 143.818 110.210998) + (xy 143.818 109.5235) + (xy 143.143001 109.5235) + (xy 142.396824 109.5235) + (xy 143.023333 108.896991) + (xy 143.069208 108.8756) + (xy 143.118103 108.888701) + (xy 143.147137 108.930165) + (xy 143.146721 108.969959) + (xy 143.145844 108.972975) + (xy 143.145844 108.972976) + (xy 143.143 109.009117) + (xy 143.143 109.1235) + (xy 143.818 109.1235) + (xy 143.818 108.436) + (xy 144.218 108.436) + (xy 144.218 109.1235) + (xy 144.892999 109.1235) + (xy 144.892999 109.009118) + (xy 144.890155 108.972977) + (xy 144.845218 108.818304) + (xy 144.763227 108.679665) + (xy 144.649334 108.565772) + (xy 144.510695 108.483781) + (xy 144.35602 108.438844) + (xy 144.356023 108.438844) + (xy 144.319882 108.436) + (xy 144.218 108.436) + (xy 143.818 108.436) + (xy 143.716118 108.436) + (xy 143.679975 108.438844) + (xy 143.676959 108.439721) + (xy 143.626452 108.436363) + (xy 143.589919 108.401326) + (xy 143.584455 108.351002) + (xy 143.60399 108.316334) + (xy 143.86375 108.056574) + (xy 143.909625 108.035183) + (xy 143.92573 108.035533) + (xy 144.018 108.047682) + (xy 144.174762 108.027044) + (xy 144.320841 107.966536) + (xy 144.446282 107.870282) + (xy 144.542536 107.744841) + (xy 144.603044 107.598762) + (xy 144.623682 107.442) + (xy 144.603044 107.285238) + (xy 144.542536 107.139159) + (xy 144.446282 107.013718) + (xy 144.446279 107.013715) + (xy 144.320841 106.917464) + (xy 144.174761 106.856955) + (xy 144.018 106.836318) + (xy 143.861239 106.856955) + (xy 143.861238 106.856955) + (xy 143.715158 106.917464) + (xy 143.58972 107.013715) + (xy 143.589715 107.01372) + (xy 143.493464 107.139158) + (xy 143.432955 107.285238) + (xy 143.432955 107.285239) + (xy 143.412318 107.442) + (xy 143.424464 107.534265) + (xy 143.413508 107.583684) + (xy 143.403423 107.596249) + (xy 136.68703 114.312641) + (xy 136.68465 114.314822) + (xy 136.652808 114.341542) + (xy 136.652805 114.341545) + (xy 136.632019 114.377546) + (xy 136.630285 114.380268) + (xy 136.606447 114.414312) + (xy 136.606445 114.414317) + (xy 136.605201 114.418959) + (xy 136.597815 114.43679) + (xy 136.595412 114.440951) + (xy 136.595412 114.440952) + (xy 136.588193 114.481884) + (xy 136.587495 114.485033) + (xy 136.576735 114.525192) + (xy 136.576735 114.525194) + (xy 136.580359 114.566598) + (xy 136.5805 114.569825) + (xy 136.5805 131.660522) + (xy 136.563187 131.708088) + (xy 136.558826 131.712848) + (xy 135.776848 132.494826) + (xy 135.730972 132.516218) + (xy 135.724522 132.5165) + (xy 131.09965 132.5165) + (xy 131.052084 132.499187) + (xy 131.040942 132.487548) + (xy 130.984284 132.41371) + (xy 130.984279 132.413705) + (xy 130.858841 132.317454) + (xy 130.712761 132.256945) + (xy 130.556 132.236308) + (xy 130.399239 132.256945) + (xy 130.399238 132.256945) + (xy 130.253158 132.317454) + (xy 130.12772 132.413705) + (xy 130.127715 132.41371) + (xy 130.031464 132.539148) + (xy 129.970955 132.685228) + (xy 129.970955 132.685229) + (xy 129.950318 132.841989) + (xy 129.970955 132.99875) + (xy 129.970955 132.998751) + (xy 130.031464 133.144831) + (xy 130.127715 133.270269) + (xy 130.12772 133.270274) + (xy 130.187283 133.315978) + (xy 130.253159 133.366526) + (xy 130.399238 133.427034) + (xy 130.556 133.447672) + (xy 130.712762 133.427034) + (xy 130.720031 133.424022) + (xy 130.770599 133.42181) + (xy 130.810761 133.452621) + (xy 130.821722 133.502039) + (xy 130.807064 133.537432) + (xy 130.793465 133.555156) + (xy 130.793464 133.555156) + (xy 130.732955 133.701238) + (xy 130.732955 133.701239) + (xy 130.712318 133.858) + (xy 130.732955 134.01476) + (xy 130.732955 134.014761) + (xy 130.793464 134.160841) + (xy 130.889715 134.286279) + (xy 130.88972 134.286284) + (xy 130.970091 134.347954) + (xy 131.015159 134.382536) + (xy 131.161238 134.443044) + (xy 131.318 134.463682) + (xy 131.473933 134.443153) + (xy 131.523352 134.454109) + (xy 131.554167 134.494268) + (xy 131.551959 134.544839) + (xy 131.535918 134.568846) + (xy 130.290439 135.814326) + (xy 130.244563 135.835718) + (xy 130.238113 135.836) + (xy 129.4525 135.836) + (xy 129.404934 135.818687) + (xy 129.379624 135.77485) + (xy 129.3785 135.762) + (xy 129.3785 123.993788) + (xy 129.378817 123.988954) + (xy 129.37982 123.981337) + (xy 129.383682 123.952) + (xy 129.363044 123.795238) + (xy 129.302536 123.649159) + (xy 129.237381 123.564247) + (xy 129.206282 123.523718) + (xy 129.176705 123.501023) + (xy 129.173068 123.497833) + (xy 121.866307 116.191071) + (xy 119.494174 113.818938) + (xy 119.472782 113.773062) + (xy 119.4725 113.766612) + (xy 119.4725 101.387788) + (xy 119.472817 101.382954) + (xy 119.473302 101.379266) + (xy 119.477682 101.346) + (xy 119.457044 101.189238) + (xy 119.396536 101.043159) + (xy 119.300282 100.917718) + (xy 119.300279 100.917715) + (xy 119.174841 100.821464) + (xy 119.028761 100.760955) + (xy 118.872 100.740318) + (xy 118.715239 100.760955) + (xy 118.715238 100.760955) + (xy 118.569158 100.821464) + (xy 118.44372 100.917715) + (xy 118.443715 100.91772) + (xy 118.347464 101.043158) + (xy 118.286955 101.189238) + (xy 118.286955 101.189239) + (xy 118.266318 101.346) + (xy 118.271183 101.382954) + (xy 118.2715 101.387788) + (xy 118.2715 114.004209) + (xy 118.271183 114.009044) + (xy 118.266318 114.045999) + (xy 118.2715 114.085361) + (xy 118.286955 114.20276) + (xy 118.286955 114.202761) + (xy 118.347464 114.348841) + (xy 118.443715 114.474279) + (xy 118.443719 114.474283) + (xy 118.473286 114.49697) + (xy 118.47693 114.500165) + (xy 121.016073 117.039309) + (xy 128.155826 124.179061) + (xy 128.177218 124.224937) + (xy 128.1775 124.231387) + (xy 128.1775 135.762) + (xy 128.160187 135.809566) + (xy 128.11635 135.834876) + (xy 128.1035 135.836) + (xy 126.272514 135.836) + (xy 126.224948 135.818687) + (xy 126.212974 135.805943) + (xy 126.13615 135.70185) + (xy 126.136146 135.701846) + (xy 126.026883 135.621207) + (xy 126.026881 135.621206) + (xy 125.898704 135.576355) + (xy 125.898705 135.576355) + (xy 125.8987 135.576354) + (xy 125.898699 135.576354) + (xy 125.868266 135.5735) + (xy 125.86826 135.5735) + (xy 124.3515 135.5735) + (xy 124.303934 135.556187) + (xy 124.278624 135.51235) + (xy 124.2775 135.4995) + (xy 124.2775 135.417641) + (xy 124.294813 135.370075) + (xy 124.306444 135.358939) + (xy 124.380282 135.302282) + (xy 124.476536 135.176841) + (xy 124.537044 135.030762) + (xy 124.557682 134.874) + (xy 124.537044 134.717238) + (xy 124.476536 134.571159) + (xy 124.417536 134.494268) + (xy 124.4166 134.493048) + (xy 124.401378 134.444772) + (xy 124.42075 134.398006) + (xy 124.465649 134.374633) + (xy 124.475308 134.374) + (xy 124.514 134.374) + (xy 124.514 133.5115) + (xy 124.914 133.5115) + (xy 124.914 134.374) + (xy 125.879641 134.374) + (xy 125.916493 134.371099) + (xy 126.074199 134.325281) + (xy 126.215556 134.241682) + (xy 126.331682 134.125556) + (xy 126.415281 133.984199) + (xy 126.461099 133.826493) + (xy 126.464 133.789641) + (xy 126.464 133.5115) + (xy 124.914 133.5115) + (xy 124.514 133.5115) + (xy 122.964 133.5115) + (xy 122.964 133.789641) + (xy 122.9669 133.826493) + (xy 123.012718 133.984199) + (xy 123.096317 134.125556) + (xy 123.212443 134.241682) + (xy 123.3538 134.325281) + (xy 123.464333 134.357394) + (xy 123.505181 134.38729) + (xy 123.517255 134.436448) + (xy 123.502396 134.473504) + (xy 123.427464 134.571158) + (xy 123.366955 134.717238) + (xy 123.366955 134.717239) + (xy 123.346318 134.874) + (xy 123.366955 135.03076) + (xy 123.366955 135.030761) + (xy 123.427464 135.176841) + (xy 123.523715 135.302279) + (xy 123.523716 135.30228) + (xy 123.523718 135.302282) + (xy 123.597549 135.358934) + (xy 123.624746 135.401624) + (xy 123.6265 135.417641) + (xy 123.6265 135.499853) + (xy 123.609187 135.547419) + (xy 123.56535 135.572729) + (xy 123.559412 135.57353) + (xy 123.548432 135.574559) + (xy 123.529301 135.576354) + (xy 123.529299 135.576354) + (xy 123.529294 135.576355) + (xy 123.401118 135.621206) + (xy 123.401116 135.621207) + (xy 123.291853 135.701846) + (xy 123.291846 135.701853) + (xy 123.211207 135.811116) + (xy 123.211206 135.811118) + (xy 123.166355 135.939295) + (xy 123.166354 135.939299) + (xy 123.166354 135.939301) + (xy 123.1635 135.969734) + (xy 123.1635 136.903266) + (xy 123.166354 136.933699) + (xy 123.166354 136.933701) + (xy 123.166355 136.933704) + (xy 123.211206 137.061881) + (xy 123.211207 137.061883) + (xy 123.291846 137.171146) + (xy 123.291853 137.171153) + (xy 123.401116 137.251792) + (xy 123.401118 137.251793) + (xy 123.529295 137.296644) + (xy 123.529301 137.296646) + (xy 123.559734 137.2995) + (xy 124.3145 137.2995) + (xy 124.362066 137.316813) + (xy 124.387376 137.36065) + (xy 124.3885 137.3735) + (xy 124.3885 137.632357) + (xy 124.371187 137.679923) + (xy 124.359549 137.691065) + (xy 124.285715 137.74772) + (xy 124.285713 137.747722) + (xy 124.189464 137.873158) + (xy 124.128955 138.019238) + (xy 124.128955 138.019239) + (xy 124.108318 138.176) + (xy 124.128955 138.33276) + (xy 124.128955 138.332761) + (xy 124.189464 138.478841) + (xy 124.285715 138.604279) + (xy 124.28572 138.604284) + (xy 124.325971 138.635169) + (xy 124.411159 138.700536) + (xy 124.557238 138.761044) + (xy 124.714 138.781682) + (xy 124.870762 138.761044) + (xy 125.016841 138.700536) + (xy 125.142282 138.604282) + (xy 125.238536 138.478841) + (xy 125.299044 138.332762) + (xy 125.319682 138.176) + (xy 125.299044 138.019238) + (xy 125.238536 137.873159) + (xy 125.164559 137.77675) + (xy 125.142284 137.74772) + (xy 125.142279 137.747715) + (xy 125.068451 137.691065) + (xy 125.041253 137.648374) + (xy 125.039499 137.632357) + (xy 125.0395 137.3735) + (xy 125.056813 137.325933) + (xy 125.10065 137.300624) + (xy 125.1135 137.2995) + (xy 125.868258 137.2995) + (xy 125.868266 137.2995) + (xy 125.898699 137.296646) + (xy 126.026882 137.251793) + (xy 126.13615 137.17115) + (xy 126.212973 137.067057) + (xy 126.255149 137.039066) + (xy 126.272514 137.037) + (xy 128.73621 137.037) + (xy 128.741045 137.037317) + (xy 128.748257 137.038266) + (xy 128.778 137.042182) + (xy 128.807742 137.038266) + (xy 128.814955 137.037317) + (xy 128.81979 137.037) + (xy 130.47571 137.037) + (xy 130.480545 137.037317) + (xy 130.488612 137.038378) + (xy 130.5175 137.042182) + (xy 130.674262 137.021544) + (xy 130.820341 136.961036) + (xy 130.855967 136.933699) + (xy 130.945782 136.864782) + (xy 130.968476 136.835205) + (xy 130.971658 136.831575) + (xy 132.30706 135.496174) + (xy 132.352938 135.474782) + (xy 132.359387 135.4745) + (xy 136.61021 135.4745) + (xy 136.615045 135.474817) + (xy 136.623112 135.475878) + (xy 136.652 135.479682) + (xy 136.808762 135.459044) + (xy 136.954841 135.398536) + (xy 137.024303 135.345236) + (xy 137.080282 135.302282) + (xy 137.102976 135.272705) + (xy 137.106158 135.269075) + (xy 137.262733 135.1125) + (xy 142.014 135.1125) + (xy 142.014 135.390641) + (xy 142.0169 135.427493) + (xy 142.062718 135.585199) + (xy 142.146317 135.726556) + (xy 142.262443 135.842682) + (xy 142.4038 135.926281) + (xy 142.561508 135.972099) + (xy 142.561505 135.972099) + (xy 142.598359 135.975) + (xy 143.564 135.975) + (xy 143.564 135.1125) + (xy 143.964 135.1125) + (xy 143.964 135.975) + (xy 144.929641 135.975) + (xy 144.966493 135.972099) + (xy 145.124199 135.926281) + (xy 145.265556 135.842682) + (xy 145.381682 135.726556) + (xy 145.465281 135.585199) + (xy 145.511099 135.427493) + (xy 145.513999 135.390641) + (xy 145.514 135.1125) + (xy 143.964 135.1125) + (xy 143.564 135.1125) + (xy 142.014 135.1125) + (xy 137.262733 135.1125) + (xy 137.662733 134.7125) + (xy 142.014 134.7125) + (xy 143.564 134.7125) + (xy 143.564 133.85) + (xy 143.964 133.85) + (xy 143.964 134.7125) + (xy 145.514 134.7125) + (xy 145.513999 134.434358) + (xy 145.511099 134.397506) + (xy 145.465281 134.2398) + (xy 145.381682 134.098443) + (xy 145.265556 133.982317) + (xy 145.124199 133.898718) + (xy 144.966491 133.8529) + (xy 144.966494 133.8529) + (xy 144.929641 133.85) + (xy 143.964 133.85) + (xy 143.564 133.85) + (xy 142.598359 133.85) + (xy 142.561506 133.8529) + (xy 142.4038 133.898718) + (xy 142.262443 133.982317) + (xy 142.146317 134.098443) + (xy 142.062718 134.2398) + (xy 142.0169 134.397506) + (xy 142.014 134.434358) + (xy 142.014 134.7125) + (xy 137.662733 134.7125) + (xy 139.96556 132.409674) + (xy 140.011438 132.388282) + (xy 140.017887 132.388) + (xy 142.205486 132.388) + (xy 142.253052 132.405313) + (xy 142.265026 132.418057) + (xy 142.341849 132.522149) + (xy 142.341853 132.522153) + (xy 142.451116 132.602792) + (xy 142.451118 132.602793) + (xy 142.579295 132.647644) + (xy 142.579301 132.647646) + (xy 142.609734 132.6505) + (xy 142.609741 132.6505) + (xy 144.918258 132.6505) + (xy 144.918266 132.6505) + (xy 144.948699 132.647646) + (xy 145.076882 132.602793) + (xy 145.18615 132.52215) + (xy 145.266793 132.412882) + (xy 145.311646 132.284699) + (xy 145.3145 132.254266) + (xy 145.3145 131.320734) + (xy 145.311646 131.290301) + (xy 145.280907 131.202455) + (xy 145.266793 131.162118) + (xy 145.266792 131.162116) + (xy 145.186153 131.052853) + (xy 145.186146 131.052846) + (xy 145.076883 130.972207) + (xy 145.076881 130.972206) + (xy 144.948704 130.927355) + (xy 144.948705 130.927355) + (xy 144.9487 130.927354) + (xy 144.948699 130.927354) + (xy 144.918266 130.9245) + (xy 144.91826 130.9245) + (xy 144.4385 130.9245) + (xy 144.390934 130.907187) + (xy 144.365624 130.86335) + (xy 144.3645 130.8505) + (xy 144.3645 130.546238) + (xy 144.381813 130.498672) + (xy 144.42565 130.473362) + (xy 144.4385 130.472238) + (xy 145.6645 130.472238) + (xy 145.712066 130.489551) + (xy 145.737376 130.533388) + (xy 145.7385 130.546238) + (xy 145.7385 145.108521) + (xy 145.721187 145.156087) + (xy 145.716826 145.160847) + (xy 137.917348 152.960326) + (xy 137.871472 152.981718) + (xy 137.865022 152.982) + (xy 137.377744 152.982) + (xy 137.348151 152.984775) + (xy 137.348149 152.984775) + (xy 137.348145 152.984776) + (xy 137.223526 153.028382) + (xy 137.11729 153.106788) + (xy 137.117288 153.10679) + (xy 137.038882 153.213026) + (xy 136.995276 153.337645) + (xy 136.995275 153.337649) + (xy 136.995275 153.337651) + (xy 136.993235 153.359412) + (xy 136.9925 153.367249) + (xy 136.9925 153.854521) + (xy 136.975187 153.902087) + (xy 136.970826 153.906847) + (xy 136.17903 154.698641) + (xy 136.17665 154.700822) + (xy 136.144808 154.727542) + (xy 136.144805 154.727545) + (xy 136.124019 154.763546) + (xy 136.122285 154.766268) + (xy 136.098447 154.800312) + (xy 136.098445 154.800317) + (xy 136.097201 154.804959) + (xy 136.089815 154.82279) + (xy 136.087412 154.826951) + (xy 136.087412 154.826952) + (xy 136.080193 154.867884) + (xy 136.079495 154.871033) + (xy 136.068735 154.911192) + (xy 136.068735 154.911194) + (xy 136.070399 154.930205) + (xy 136.072244 154.95129) + (xy 136.072359 154.952598) + (xy 136.0725 154.955825) + (xy 136.0725 155.160359) + (xy 136.055187 155.207925) + (xy 136.01135 155.233235) + (xy 135.9615 155.224445) + (xy 135.928963 155.185668) + (xy 135.928653 155.1848) + (xy 135.884117 155.057526) + (xy 135.831542 154.986289) + (xy 135.805711 154.951289) + (xy 135.727695 154.893711) + (xy 135.699473 154.872882) + (xy 135.574854 154.829276) + (xy 135.574855 154.829276) + (xy 135.57485 154.829275) + (xy 135.574849 154.829275) + (xy 135.545256 154.8265) + (xy 135.54525 154.8265) + (xy 135.057978 154.8265) + (xy 135.010412 154.809187) + (xy 135.005652 154.804826) + (xy 134.904151 154.703325) + (xy 134.882759 154.657449) + (xy 134.89586 154.608554) + (xy 134.937324 154.57952) + (xy 134.956477 154.576999) + (xy 135.055 154.576999) + (xy 135.055 153.8895) + (xy 135.455 153.8895) + (xy 135.455 154.576999) + (xy 135.556882 154.576999) + (xy 135.593022 154.574155) + (xy 135.747695 154.529218) + (xy 135.886334 154.447227) + (xy 136.000227 154.333334) + (xy 136.082218 154.194695) + (xy 136.127155 154.040022) + (xy 136.13 154.003882) + (xy 136.13 153.8895) + (xy 135.455 153.8895) + (xy 135.055 153.8895) + (xy 134.380001 153.8895) + (xy 134.380001 154.000523) + (xy 134.362688 154.048089) + (xy 134.318851 154.073399) + (xy 134.269001 154.064609) + (xy 134.253675 154.052849) + (xy 133.690326 153.4895) + (xy 134.38 153.4895) + (xy 135.055 153.4895) + (xy 135.055 152.802) + (xy 135.455 152.802) + (xy 135.455 153.4895) + (xy 136.129999 153.4895) + (xy 136.129999 153.375118) + (xy 136.127155 153.338977) + (xy 136.082218 153.184304) + (xy 136.000227 153.045665) + (xy 135.886334 152.931772) + (xy 135.747695 152.849781) + (xy 135.59302 152.804844) + (xy 135.593023 152.804844) + (xy 135.556882 152.802) + (xy 135.455 152.802) + (xy 135.055 152.802) + (xy 134.953118 152.802) + (xy 134.916977 152.804844) + (xy 134.762304 152.849781) + (xy 134.623665 152.931772) + (xy 134.509772 153.045665) + (xy 134.427781 153.184304) + (xy 134.382844 153.338977) + (xy 134.38 153.375117) + (xy 134.38 153.4895) + (xy 133.690326 153.4895) + (xy 132.427174 152.226348) + (xy 132.405782 152.180472) + (xy 132.4055 152.174022) + (xy 132.4055 146.334814) + (xy 132.405641 146.331587) + (xy 132.406743 146.319) + (xy 132.409263 146.290193) + (xy 132.398507 146.250052) + (xy 132.397808 146.246899) + (xy 132.390588 146.205956) + (xy 132.390588 146.205955) + (xy 132.388183 146.20179) + (xy 132.380794 146.183948) + (xy 132.379553 146.179316) + (xy 132.3557 146.14525) + (xy 132.353981 146.14255) + (xy 132.333194 146.106545) + (xy 132.317142 146.093076) + (xy 132.301353 146.079827) + (xy 132.298973 146.077645) + (xy 130.612174 144.390847) + (xy 130.590782 144.344971) + (xy 130.5905 144.338521) + (xy 130.5905 142.909253) + (xy 130.589607 142.904762) + (xy 130.578867 142.850769) + (xy 130.534552 142.784448) + (xy 130.480005 142.748) + (xy 130.468232 142.740133) + (xy 130.468233 142.740133) + (xy 130.438989 142.734316) + (xy 130.409748 142.7285) + (xy 130.409746 142.7285) + (xy 128.7645 142.7285) + (xy 128.716934 142.711187) + (xy 128.691624 142.66735) + (xy 128.6905 142.6545) + (xy 128.6905 140.532477) + (xy 128.707813 140.484911) + (xy 128.712174 140.480151) + (xy 128.968351 140.223974) + (xy 129.393353 139.798971) + (xy 129.436021 139.777931) + (xy 129.442762 139.777044) + (xy 129.588841 139.716536) + (xy 129.714282 139.620282) + (xy 129.810536 139.494841) + (xy 129.871044 139.348762) + (xy 129.891682 139.192) + (xy 129.871044 139.035238) + (xy 129.810536 138.889159) + (xy 129.735962 138.791972) + (xy 129.714284 138.76372) + (xy 129.714279 138.763715) + (xy 129.588841 138.667464) + (xy 129.442761 138.606955) + (xy 129.286 138.586318) + (xy 129.129239 138.606955) + (xy 129.129238 138.606955) + (xy 128.983158 138.667464) + (xy 128.85772 138.763715) + (xy 128.857715 138.76372) + (xy 128.761464 138.889158) + (xy 128.700955 139.035238) + (xy 128.700955 139.035239) + (xy 128.680318 139.192) + (xy 128.700955 139.34876) + (xy 128.700955 139.348761) + (xy 128.747043 139.460025) + (xy 128.749251 139.510596) + (xy 128.731002 139.54067) + (xy 128.366825 139.904847) + (xy 128.320949 139.926239) + (xy 128.272054 139.913138) + (xy 128.24302 139.871674) + (xy 128.240499 139.852521) + (xy 128.240499 139.738591) + (xy 128.240499 139.481648) + (xy 128.257812 139.434085) + (xy 128.269444 139.422949) + (xy 128.343282 139.366292) + (xy 128.439536 139.240851) + (xy 128.500044 139.094772) + (xy 128.520682 138.93801) + (xy 128.500044 138.781248) + (xy 128.439536 138.635169) + (xy 128.343282 138.509728) + (xy 128.343279 138.509725) + (xy 128.217841 138.413474) + (xy 128.071761 138.352965) + (xy 127.915 138.332328) + (xy 127.758239 138.352965) + (xy 127.758238 138.352965) + (xy 127.612158 138.413474) + (xy 127.48672 138.509725) + (xy 127.486715 138.50973) + (xy 127.390464 138.635168) + (xy 127.329955 138.781248) + (xy 127.329955 138.781249) + (xy 127.309318 138.938009) + (xy 127.329955 139.09477) + (xy 127.329955 139.094771) + (xy 127.390464 139.240851) + (xy 127.486715 139.366289) + (xy 127.486716 139.36629) + (xy 127.486718 139.366292) + (xy 127.560549 139.422944) + (xy 127.587746 139.465634) + (xy 127.5895 139.481651) + (xy 127.5895 144.851824) + (xy 127.572187 144.89939) + (xy 127.52835 144.9247) + (xy 127.4785 144.91591) + (xy 127.456792 144.896872) + (xy 127.428284 144.85972) + (xy 127.428279 144.859715) + (xy 127.302841 144.763464) + (xy 127.156761 144.702955) + (xy 127 144.682318) + (xy 126.843239 144.702955) + (xy 126.843238 144.702955) + (xy 126.697158 144.763464) + (xy 126.57172 144.859715) + (xy 126.571715 144.85972) + (xy 126.475464 144.985158) + (xy 126.414955 145.131238) + (xy 126.414955 145.131239) + (xy 126.394318 145.288) + (xy 126.406464 145.380265) + (xy 126.395508 145.429684) + (xy 126.385423 145.442249) + (xy 125.362848 146.464826) + (xy 125.316972 146.486218) + (xy 125.310522 146.4865) + (xy 125.003642 146.4865) + (xy 124.956076 146.469187) + (xy 124.944934 146.457548) + (xy 124.888284 146.38372) + (xy 124.888279 146.383715) + (xy 124.762841 146.287464) + (xy 124.616761 146.226955) + (xy 124.46 146.206318) + (xy 124.303239 146.226955) + (xy 124.303238 146.226955) + (xy 124.157158 146.287464) + (xy 124.03172 146.383715) + (xy 124.031715 146.38372) + (xy 123.935464 146.509158) + (xy 123.874955 146.655238) + (xy 123.874955 146.655239) + (xy 123.854318 146.812) + (xy 123.874955 146.96876) + (xy 123.874955 146.968761) + (xy 123.935464 147.114841) + (xy 124.031715 147.240279) + (xy 124.03172 147.240284) + (xy 124.102027 147.294232) + (xy 124.157159 147.336536) + (xy 124.303238 147.397044) + (xy 124.46 147.417682) + (xy 124.552264 147.405534) + (xy 124.601682 147.41649) + (xy 124.614249 147.426575) + (xy 125.960273 148.772599) + (xy 125.981665 148.818475) + (xy 125.968564 148.86737) + (xy 125.95189 148.884465) + (xy 125.941291 148.892287) + (xy 125.94129 148.892288) + (xy 125.941289 148.892289) + (xy 125.940882 148.892841) + (xy 125.862882 148.998526) + (xy 125.819276 149.123145) + (xy 125.819275 149.123149) + (xy 125.819275 149.123151) + (xy 125.8165 149.152744) + (xy 125.8165 149.758256) + (xy 125.819275 149.787849) + (xy 125.819275 149.787851) + (xy 125.819276 149.787854) + (xy 125.862882 149.912473) + (xy 125.891116 149.950728) + (xy 125.941289 150.018711) + (xy 126.019694 150.076576) + (xy 126.047526 150.097117) + (xy 126.172145 150.140723) + (xy 126.172151 150.140725) + (xy 126.201744 150.1435) + (xy 126.201751 150.1435) + (xy 126.782249 150.1435) + (xy 126.782256 150.1435) + (xy 126.811849 150.140725) + (xy 126.931963 150.098695) + (xy 126.936473 150.097117) + (xy 126.936473 150.097116) + (xy 126.936475 150.097116) + (xy 127.042711 150.018711) + (xy 127.121116 149.912475) + (xy 127.121209 149.912211) + (xy 127.148495 149.83423) + (xy 127.164725 149.787849) + (xy 127.1675 149.758256) + (xy 127.1675 149.152744) + (xy 127.164725 149.123151) + (xy 127.163718 149.120272) + (xy 127.121117 148.998526) + (xy 127.093318 148.96086) + (xy 127.042711 148.892289) + (xy 126.936475 148.813884) + (xy 126.936473 148.813883) + (xy 126.850276 148.783721) + (xy 126.811097 148.75167) + (xy 126.805565 148.736759) + (xy 126.804801 148.737038) + (xy 126.802588 148.730959) + (xy 126.802588 148.730955) + (xy 126.800187 148.726797) + (xy 126.792794 148.708944) + (xy 126.791555 148.704319) + (xy 126.791553 148.704314) + (xy 126.767715 148.67027) + (xy 126.765979 148.667546) + (xy 126.758222 148.654111) + (xy 126.745194 148.631545) + (xy 126.745193 148.631543) + (xy 126.713347 148.604821) + (xy 126.710966 148.60264) + (xy 125.372152 147.263826) + (xy 125.35076 147.21795) + (xy 125.363861 147.169055) + (xy 125.405325 147.140021) + (xy 125.424478 147.1375) + (xy 125.460174 147.1375) + (xy 125.463394 147.13764) + (xy 125.489546 147.139928) + (xy 125.504805 147.141264) + (xy 125.504805 147.141263) + (xy 125.504807 147.141264) + (xy 125.544967 147.130502) + (xy 125.5481 147.129807) + (xy 125.589045 147.122588) + (xy 125.593194 147.120191) + (xy 125.611055 147.112794) + (xy 125.615684 147.111554) + (xy 125.649732 147.087712) + (xy 125.65245 147.08598) + (xy 125.688455 147.065194) + (xy 125.715187 147.033334) + (xy 125.717348 147.030976) + (xy 126.84575 145.902574) + (xy 126.891625 145.881183) + (xy 126.90773 145.881533) + (xy 127 145.893682) + (xy 127.156762 145.873044) + (xy 127.302841 145.812536) + (xy 127.428282 145.716282) + (xy 127.456792 145.679126) + (xy 127.499481 145.65193) + (xy 127.549667 145.658535) + (xy 127.583865 145.695855) + (xy 127.589499 145.724175) + (xy 127.5895 151.775173) + (xy 127.589359 151.7784) + (xy 127.585735 151.819805) + (xy 127.585735 151.819807) + (xy 127.586588 151.822989) + (xy 127.582172 151.873415) + (xy 127.546377 151.909205) + (xy 127.49595 151.913613) + (xy 127.462782 151.894462) + (xy 127.189174 151.620854) + (xy 127.167782 151.574978) + (xy 127.1675 151.568528) + (xy 127.1675 150.977751) + (xy 127.1675 150.977744) + (xy 127.164725 150.948151) + (xy 127.164611 150.947826) + (xy 127.121117 150.823526) + (xy 127.0736 150.759143) + (xy 127.042711 150.717289) + (xy 126.952144 150.650448) + (xy 126.936473 150.638882) + (xy 126.811854 150.595276) + (xy 126.811855 150.595276) + (xy 126.81185 150.595275) + (xy 126.811849 150.595275) + (xy 126.782256 150.5925) + (xy 126.201744 150.5925) + (xy 126.201741 150.5925) + (xy 126.19982 150.59268) + (xy 126.198961 150.59276) + (xy 126.149988 150.579963) + (xy 126.13973 150.57141) + (xy 121.264569 145.696249) + (xy 121.243177 145.650373) + (xy 121.243527 145.634269) + (xy 121.255676 145.542) + (xy 121.235038 145.385238) + (xy 121.17453 145.239159) + (xy 121.125805 145.175659) + (xy 121.078278 145.11372) + (xy 121.078273 145.113715) + (xy 120.952835 145.017464) + (xy 120.806755 144.956955) + (xy 120.67063 144.939034) + (xy 120.649994 144.936318) + (xy 120.649993 144.936318) + (xy 120.493233 144.956955) + (xy 120.493232 144.956955) + (xy 120.347152 145.017464) + (xy 120.221714 145.113715) + (xy 120.221709 145.11372) + (xy 120.125458 145.239158) + (xy 120.064949 145.385238) + (xy 120.064949 145.385239) + (xy 120.044312 145.542) + (xy 120.064949 145.69876) + (xy 120.064949 145.698761) + (xy 120.125458 145.844841) + (xy 120.221709 145.970279) + (xy 120.221714 145.970284) + (xy 120.23155 145.977831) + (xy 120.347153 146.066536) + (xy 120.493232 146.127044) + (xy 120.649994 146.147682) + (xy 120.742258 146.135534) + (xy 120.791676 146.14649) + (xy 120.804243 146.156575) + (xy 125.794826 151.147158) + (xy 125.816218 151.193034) + (xy 125.8165 151.199484) + (xy 125.8165 151.583256) + (xy 125.819275 151.612849) + (xy 125.819275 151.612851) + (xy 125.819276 151.612854) + (xy 125.862883 151.737474) + (xy 125.865474 151.742376) + (xy 125.864409 151.742938) + (xy 125.877057 151.785833) + (xy 125.856816 151.832229) + (xy 125.811487 151.854759) + (xy 125.762281 151.842881) + (xy 125.750875 151.83355) + (xy 119.550074 145.63275) + (xy 119.528682 145.586874) + (xy 119.529032 145.57077) + (xy 119.541181 145.478501) + (xy 119.520543 145.321739) + (xy 119.460035 145.17566) + (xy 119.408518 145.108521) + (xy 119.363783 145.050221) + (xy 119.363778 145.050216) + (xy 119.23834 144.953965) + (xy 119.09226 144.893456) + (xy 118.953022 144.875126) + (xy 118.935499 144.872819) + (xy 118.935498 144.872819) + (xy 118.778738 144.893456) + (xy 118.778737 144.893456) + (xy 118.632657 144.953965) + (xy 118.507219 145.050216) + (xy 118.507214 145.050221) + (xy 118.410963 145.175659) + (xy 118.350454 145.321739) + (xy 118.350454 145.32174) + (xy 118.329817 145.478501) + (xy 118.350454 145.635261) + (xy 118.350454 145.635262) + (xy 118.410963 145.781342) + (xy 118.507214 145.90678) + (xy 118.507219 145.906785) + (xy 118.541432 145.933037) + (xy 118.632658 146.003037) + (xy 118.778737 146.063545) + (xy 118.935499 146.084183) + (xy 119.027763 146.072035) + (xy 119.077181 146.082991) + (xy 119.089748 146.093076) + (xy 122.147668 149.150997) + (xy 122.16906 149.196873) + (xy 122.155959 149.245768) + (xy 122.114495 149.274802) + (xy 122.081678 149.2748) + (xy 122.081575 149.275589) + (xy 121.985601 149.262954) + (xy 121.920004 149.254318) + (xy 121.920003 149.254318) + (xy 121.827737 149.266464) + (xy 121.778318 149.255507) + (xy 121.765753 149.245423) + (xy 120.079696 147.559366) + (xy 120.077515 147.556986) + (xy 120.074702 147.553634) + (xy 120.050794 147.525141) + (xy 120.033427 147.515113) + (xy 120.014797 147.504357) + (xy 120.012075 147.502623) + (xy 119.978024 147.478781) + (xy 119.978021 147.47878) + (xy 119.973386 147.477538) + (xy 119.955539 147.470146) + (xy 119.951383 147.467746) + (xy 119.910453 147.460528) + (xy 119.907303 147.45983) + (xy 119.867145 147.44907) + (xy 119.867144 147.44907) + (xy 119.834157 147.451957) + (xy 119.825733 147.452694) + (xy 119.822513 147.452835) + (xy 114.889952 147.452835) + (xy 114.842386 147.435522) + (xy 114.831243 147.423883) + (xy 114.826484 147.417681) + (xy 114.794015 147.375366) + (xy 114.778795 147.327091) + (xy 114.798167 147.280325) + (xy 114.815724 147.266234) + (xy 114.824455 147.261194) + (xy 114.851187 147.229334) + (xy 114.853348 147.226976) + (xy 116.17775 145.902574) + (xy 116.223625 145.881183) + (xy 116.23973 145.881533) + (xy 116.332 145.893682) + (xy 116.488762 145.873044) + (xy 116.634841 145.812536) + (xy 116.760282 145.716282) + (xy 116.856536 145.590841) + (xy 116.917044 145.444762) + (xy 116.937682 145.288) + (xy 116.917044 145.131238) + (xy 116.856536 144.985159) + (xy 116.8034 144.91591) + (xy 116.760284 144.85972) + (xy 116.760279 144.859715) + (xy 116.634841 144.763464) + (xy 116.488761 144.702955) + (xy 116.332 144.682318) + (xy 116.175239 144.702955) + (xy 116.175238 144.702955) + (xy 116.029158 144.763464) + (xy 115.90372 144.859715) + (xy 115.903715 144.85972) + (xy 115.807464 144.985158) + (xy 115.746955 145.131238) + (xy 115.746955 145.131239) + (xy 115.726318 145.288) + (xy 115.738464 145.380265) + (xy 115.727508 145.429684) + (xy 115.717423 145.442249) + (xy 114.498848 146.660826) + (xy 114.452972 146.682218) + (xy 114.446522 146.6825) + (xy 113.798478 146.6825) + (xy 113.750912 146.665187) + (xy 113.725602 146.62135) + (xy 113.734392 146.5715) + (xy 113.746152 146.556174) + (xy 115.171088 145.131239) + (xy 116.699152 143.603174) + (xy 116.745029 143.581782) + (xy 116.751479 143.5815) + (xy 116.804358 143.5815) + (xy 116.851924 143.598813) + (xy 116.863066 143.610452) + (xy 116.919715 143.684279) + (xy 116.91972 143.684284) + (xy 117.002059 143.747464) + (xy 117.045159 143.780536) + (xy 117.191238 143.841044) + (xy 117.348 143.861682) + (xy 117.504762 143.841044) + (xy 117.650841 143.780536) + (xy 117.776282 143.684282) + (xy 117.872536 143.558841) + (xy 117.933044 143.412762) + (xy 117.953682 143.256) + (xy 117.94257 143.171595) + (xy 117.953526 143.122177) + (xy 117.993685 143.091362) + (xy 118.025596 143.08857) + (xy 118.11 143.099682) + (xy 118.202264 143.087534) + (xy 118.251682 143.09849) + (xy 118.264248 143.108574) + (xy 118.83086 143.675187) + (xy 119.392652 144.236979) + (xy 119.394821 144.239346) + (xy 119.421545 144.271194) + (xy 119.45755 144.291981) + (xy 119.46025 144.2937) + (xy 119.494316 144.317553) + (xy 119.498949 144.318794) + (xy 119.51679 144.326183) + (xy 119.520955 144.328588) + (xy 119.529717 144.330133) + (xy 119.561899 144.335808) + (xy 119.565049 144.336506) + (xy 119.605193 144.347263) + (xy 119.605193 144.347262) + (xy 119.605194 144.347263) + (xy 119.613006 144.346579) + (xy 119.646598 144.34364) + (xy 119.649817 144.3435) + (xy 120.634174 144.3435) + (xy 120.637394 144.34364) + (xy 120.663546 144.345928) + (xy 120.678805 144.347264) + (xy 120.678805 144.347263) + (xy 120.678807 144.347264) + (xy 120.718967 144.336502) + (xy 120.7221 144.335807) + (xy 120.763045 144.328588) + (xy 120.767194 144.326191) + (xy 120.785055 144.318794) + (xy 120.789684 144.317554) + (xy 120.823732 144.293712) + (xy 120.82645 144.29198) + (xy 120.862455 144.271194) + (xy 120.889187 144.239334) + (xy 120.891348 144.236976) + (xy 127.113151 138.015174) + (xy 127.159028 137.993782) + (xy 127.165478 137.9935) + (xy 131.302174 137.9935) + (xy 131.305394 137.99364) + (xy 131.346807 137.997264) + (xy 131.361484 137.993331) + (xy 131.408956 137.996441) + (xy 131.415238 137.999044) + (xy 131.572 138.019682) + (xy 131.728762 137.999044) + (xy 131.874841 137.938536) + (xy 132.000282 137.842282) + (xy 132.096536 137.716841) + (xy 132.157044 137.570762) + (xy 132.177682 137.414) + (xy 132.157044 137.257238) + (xy 132.096536 137.111159) + (xy 132.043608 137.042182) + (xy 132.000284 136.98572) + (xy 132.000279 136.985715) + (xy 131.874841 136.889464) + (xy 131.728761 136.828955) + (xy 131.572 136.808318) + (xy 131.415239 136.828955) + (xy 131.415238 136.828955) + (xy 131.269158 136.889464) + (xy 131.14372 136.985715) + (xy 131.143715 136.98572) + (xy 131.047464 137.111158) + (xy 130.986955 137.257238) + (xy 130.986955 137.257239) + (xy 130.984202 137.278158) + (xy 130.96083 137.323058) + (xy 130.914064 137.34243) + (xy 130.910835 137.3425) + (xy 127.015818 137.3425) + (xy 127.012599 137.342359) + (xy 127.00262 137.341486) + (xy 126.971195 137.338736) + (xy 126.971194 137.338736) + (xy 126.931044 137.349494) + (xy 126.927894 137.350192) + (xy 126.886953 137.357412) + (xy 126.886951 137.357412) + (xy 126.88279 137.359815) + (xy 126.864959 137.367201) + (xy 126.860317 137.368445) + (xy 126.860312 137.368447) + (xy 126.826268 137.392285) + (xy 126.823547 137.394019) + (xy 126.787547 137.414804) + (xy 126.787543 137.414808) + (xy 126.760827 137.446645) + (xy 126.758646 137.449026) + (xy 120.536848 143.670826) + (xy 120.490972 143.692218) + (xy 120.484522 143.6925) + (xy 119.799479 143.6925) + (xy 119.751913 143.675187) + (xy 119.747153 143.670826) + (xy 119.367928 143.291601) + (xy 119.3622 143.279318) + (xy 119.356963 143.278157) + (xy 119.344401 143.268074) + (xy 118.724574 142.648248) + (xy 118.703183 142.602373) + (xy 118.703533 142.586269) + (xy 118.715682 142.494) + (xy 118.695044 142.337238) + (xy 118.634536 142.191159) + (xy 118.596227 142.141233) + (xy 118.542565 142.071299) + (xy 118.541052 142.066503) + (xy 118.5327 142.061434) + (xy 118.412841 141.969464) + (xy 118.266761 141.908955) + (xy 118.11 141.888318) + (xy 117.953239 141.908955) + (xy 117.953238 141.908955) + (xy 117.807158 141.969464) + (xy 117.68172 142.065715) + (xy 117.681715 142.06572) + (xy 117.585464 142.191158) + (xy 117.524955 142.337238) + (xy 117.524955 142.337239) + (xy 117.504318 142.494) + (xy 117.515429 142.578404) + (xy 117.504473 142.627823) + (xy 117.464313 142.658637) + (xy 117.432404 142.661429) + (xy 117.348001 142.650318) + (xy 117.348 142.650318) + (xy 117.327363 142.653034) + (xy 117.191239 142.670955) + (xy 117.191238 142.670955) + (xy 117.045158 142.731464) + (xy 116.91972 142.827715) + (xy 116.919715 142.82772) + (xy 116.863066 142.901548) + (xy 116.820375 142.928746) + (xy 116.804358 142.9305) + (xy 116.601818 142.9305) + (xy 116.598599 142.930359) + (xy 116.58862 142.929486) + (xy 116.557195 142.926736) + (xy 116.557194 142.926736) + (xy 116.517044 142.937494) + (xy 116.513894 142.938192) + (xy 116.472953 142.945412) + (xy 116.472951 142.945412) + (xy 116.46879 142.947815) + (xy 116.450959 142.955201) + (xy 116.446317 142.956445) + (xy 116.446312 142.956447) + (xy 116.412265 142.980287) + (xy 116.409544 142.982021) + (xy 116.373549 143.002803) + (xy 116.373543 143.002808) + (xy 116.346832 143.034639) + (xy 116.344651 143.03702) + (xy 113.170848 146.210826) + (xy 113.124972 146.232218) + (xy 113.118522 146.2325) + (xy 111.267814 146.2325) + (xy 111.264593 146.232359) + (xy 111.255597 146.231572) + (xy 111.223194 146.228736) + (xy 111.223192 146.228736) + (xy 111.183045 146.239493) + (xy 111.179895 146.240191) + (xy 111.138959 146.24741) + (xy 111.138953 146.247412) + (xy 111.134788 146.249817) + (xy 111.116956 146.257203) + (xy 111.112315 146.258447) + (xy 111.112314 146.258447) + (xy 111.07827 146.282285) + (xy 111.075551 146.284017) + (xy 111.064855 146.290193) + (xy 111.039546 146.304805) + (xy 111.012832 146.336639) + (xy 111.010651 146.33902) + (xy 108.932901 148.416771) + (xy 108.887025 148.438163) + (xy 108.83813 148.425062) + (xy 108.809096 148.383598) + (xy 108.807208 148.354789) + (xy 108.809682 148.336) + (xy 108.789044 148.179238) + (xy 108.728536 148.033159) + (xy 108.67682 147.965761) + (xy 108.632284 147.90772) + (xy 108.632279 147.907715) + (xy 108.506841 147.811464) + (xy 108.416179 147.773909) + (xy 108.378859 147.739711) + (xy 108.370498 147.705542) + (xy 108.370498 146.432151) + (xy 108.370498 145.08678) + (xy 108.38781 145.039217) + (xy 108.431647 145.013907) + (xy 108.481497 145.022697) + (xy 108.496823 145.034457) + (xy 109.029338 145.566972) + (xy 109.03151 145.569342) + (xy 109.058231 145.601187) + (xy 109.094236 145.621974) + (xy 109.096936 145.623693) + (xy 109.131002 145.647546) + (xy 109.135635 145.648787) + (xy 109.153476 145.656176) + (xy 109.157641 145.658581) + (xy 109.166403 145.660126) + (xy 109.198585 145.665801) + (xy 109.201735 145.666499) + (xy 109.241879 145.677256) + (xy 109.241879 145.677255) + (xy 109.24188 145.677256) + (xy 109.249692 145.676572) + (xy 109.283284 145.673633) + (xy 109.286503 145.673493) + (xy 112.17834 145.673493) + (xy 112.225906 145.690806) + (xy 112.237048 145.702445) + (xy 112.293697 145.776272) + (xy 112.293702 145.776277) + (xy 112.300303 145.781342) + (xy 112.419141 145.872529) + (xy 112.56522 145.933037) + (xy 112.721982 145.953675) + (xy 112.878744 145.933037) + (xy 113.024823 145.872529) + (xy 113.150264 145.776275) + (xy 113.246518 145.650834) + (xy 113.307026 145.504755) + (xy 113.327664 145.347993) + (xy 113.307026 145.191231) + (xy 113.246518 145.045152) + (xy 113.210146 144.997751) + (xy 113.150266 144.919713) + (xy 113.150261 144.919708) + (xy 113.024823 144.823457) + (xy 112.878743 144.762948) + (xy 112.757073 144.74693) + (xy 112.741395 144.744866) + (xy 112.724275 144.735954) + (xy 112.718218 144.741037) + (xy 112.702568 144.744866) + (xy 112.68689 144.74693) + (xy 112.565221 144.762948) + (xy 112.56522 144.762948) + (xy 112.41914 144.823457) + (xy 112.293702 144.919708) + (xy 112.293697 144.919713) + (xy 112.237048 144.993541) + (xy 112.194357 145.020739) + (xy 112.17834 145.022493) + (xy 109.503067 145.022493) + (xy 109.455501 145.00518) + (xy 109.430191 144.961343) + (xy 109.438981 144.911493) + (xy 109.477758 144.878956) + (xy 109.493407 144.875126) + (xy 109.630756 144.857044) + (xy 109.776835 144.796536) + (xy 109.902276 144.700282) + (xy 109.956037 144.630219) + (xy 109.958928 144.626452) + (xy 110.001619 144.599254) + (xy 110.017636 144.5975) + (xy 112.692909 144.5975) + (xy 112.721472 144.607896) + (xy 112.735038 144.599254) + (xy 112.751055 144.5975) + (xy 113.014174 144.5975) + (xy 113.017394 144.59764) + (xy 113.043546 144.599928) + (xy 113.058805 144.601264) + (xy 113.058805 144.601263) + (xy 113.058807 144.601264) + (xy 113.098967 144.590502) + (xy 113.1021 144.589807) + (xy 113.143045 144.582588) + (xy 113.147194 144.580191) + (xy 113.165055 144.572794) + (xy 113.169684 144.571554) + (xy 113.203732 144.547712) + (xy 113.20645 144.54598) + (xy 113.242455 144.525194) + (xy 113.269187 144.493334) + (xy 113.271348 144.490976) + (xy 113.28918 144.473144) + (xy 113.331846 144.452104) + (xy 113.384024 144.445236) + (xy 113.530103 144.384728) + (xy 113.655544 144.288474) + (xy 113.751798 144.163033) + (xy 113.812306 144.016954) + (xy 113.832944 143.860192) + (xy 113.812306 143.70343) + (xy 113.751798 143.557351) + (xy 113.655544 143.43191) + (xy 113.655541 143.431907) + (xy 113.530103 143.335656) + (xy 113.384023 143.275147) + (xy 113.247898 143.257226) + (xy 113.227262 143.25451) + (xy 113.227261 143.25451) + (xy 113.070501 143.275147) + (xy 113.0705 143.275147) + (xy 112.92442 143.335656) + (xy 112.798982 143.431907) + (xy 112.798977 143.431912) + (xy 112.702726 143.55735) + (xy 112.642217 143.70343) + (xy 112.642217 143.703431) + (xy 112.62158 143.860191) + (xy 112.621929 143.86284) + (xy 112.62158 143.864414) + (xy 112.62158 143.865042) + (xy 112.621441 143.865042) + (xy 112.610974 143.912259) + (xy 112.570815 143.943075) + (xy 112.548562 143.9465) + (xy 112.078032 143.9465) + (xy 112.030466 143.929187) + (xy 112.005156 143.88535) + (xy 112.013946 143.8355) + (xy 112.019323 143.827452) + (xy 112.030531 143.812846) + (xy 112.091039 143.666767) + (xy 112.111677 143.510005) + (xy 112.091039 143.353243) + (xy 112.030531 143.207164) + (xy 111.988521 143.152415) + (xy 111.934279 143.081725) + (xy 111.934274 143.08172) + (xy 111.808836 142.985469) + (xy 111.662756 142.92496) + (xy 111.64184 142.922207) + (xy 111.596941 142.898833) + (xy 111.57757 142.852067) + (xy 111.5775 142.84884) + (xy 111.5775 142.275641) + (xy 111.594813 142.228075) + (xy 111.606444 142.216939) + (xy 111.680282 142.160282) + (xy 111.776536 142.034841) + (xy 111.837044 141.888762) + (xy 111.857682 141.732) + (xy 111.837044 141.575238) + (xy 111.776536 141.429159) + (xy 111.706635 141.338062) + (xy 111.680284 141.30372) + (xy 111.680279 141.303715) + (xy 111.559744 141.211226) + (xy 111.532546 141.168535) + (xy 111.539153 141.118349) + (xy 111.576473 141.084151) + (xy 111.604792 141.078518) + (xy 112.486358 141.078518) + (xy 112.533924 141.095831) + (xy 112.545066 141.10747) + (xy 112.601715 141.181297) + (xy 112.60172 141.181302) + (xy 112.640718 141.211226) + (xy 112.727159 141.277554) + (xy 112.873238 141.338062) + (xy 113.03 141.3587) + (xy 113.186762 141.338062) + (xy 113.332841 141.277554) + (xy 113.458282 141.1813) + (xy 113.554536 141.055859) + (xy 113.615044 140.90978) + (xy 113.635682 140.753018) + (xy 113.615044 140.596256) + (xy 113.556873 140.455819) + (xy 113.554665 140.405248) + (xy 113.58548 140.365089) + (xy 113.62524 140.3535) + (xy 117.002522 140.3535) + (xy 117.050088 140.370813) + (xy 117.054848 140.375174) + (xy 118.630074 141.9504) + (xy 118.6358 141.962679) + (xy 118.641033 141.96384) + (xy 118.653599 141.973925) + (xy 119.273423 142.593749) + (xy 119.294815 142.639625) + (xy 119.294464 142.655733) + (xy 119.282318 142.747999) + (xy 119.302955 142.90476) + (xy 119.302955 142.904761) + (xy 119.363464 143.050841) + (xy 119.455432 143.170698) + (xy 119.456944 143.175495) + (xy 119.465303 143.180567) + (xy 119.585159 143.272536) + (xy 119.731238 143.333044) + (xy 119.888 143.353682) + (xy 120.044762 143.333044) + (xy 120.190841 143.272536) + (xy 120.316282 143.176282) + (xy 120.412536 143.050841) + (xy 120.473044 142.904762) + (xy 120.493682 142.748) + (xy 120.473044 142.591238) + (xy 120.412536 142.445159) + (xy 120.349671 142.363231) + (xy 120.316284 142.31972) + (xy 120.316279 142.319715) + (xy 120.19084 142.223463) + (xy 120.08921 142.181367) + (xy 120.051889 142.14717) + (xy 120.045282 142.096984) + (xy 120.072479 142.054292) + (xy 120.08921 142.044633) + (xy 120.11285 142.034841) + (xy 120.190841 142.002536) + (xy 120.316282 141.906282) + (xy 120.412536 141.780841) + (xy 120.473044 141.634762) + (xy 120.493682 141.478) + (xy 120.473044 141.321238) + (xy 120.412536 141.175159) + (xy 120.37483 141.12602) + (xy 120.316284 141.04972) + (xy 120.316279 141.049715) + (xy 120.190841 140.953464) + (xy 120.044761 140.892955) + (xy 119.908636 140.875034) + (xy 119.888 140.872318) + (xy 119.887999 140.872318) + (xy 119.843597 140.878163) + (xy 119.794178 140.867206) + (xy 119.763364 140.827047) + (xy 119.765572 140.776476) + (xy 119.799771 140.739157) + (xy 119.80561 140.736433) + (xy 119.907741 140.69413) + (xy 120.008559 140.616769) + (xy 120.05683 140.601549) + (xy 120.103596 140.62092) + (xy 120.112311 140.63043) + (xy 120.170701 140.706525) + (xy 120.170703 140.706527) + (xy 120.213228 140.739157) + (xy 120.296142 140.802779) + (xy 120.442221 140.863287) + (xy 120.598983 140.883925) + (xy 120.755745 140.863287) + (xy 120.901824 140.802779) + (xy 121.027265 140.706525) + (xy 121.123519 140.581084) + (xy 121.184027 140.435005) + (xy 121.187147 140.411303) + (xy 121.210519 140.366404) + (xy 121.257285 140.347032) + (xy 121.305561 140.362253) + (xy 121.305562 140.362254) + (xy 121.316716 140.370813) + (xy 121.414271 140.445669) + (xy 121.56035 140.506177) + (xy 121.717112 140.526815) + (xy 121.873874 140.506177) + (xy 122.019953 140.445669) + (xy 122.145394 140.349415) + (xy 122.241648 140.223974) + (xy 122.302156 140.077895) + (xy 122.322794 139.921133) + (xy 122.302156 139.764371) + (xy 122.241648 139.618292) + (xy 122.20933 139.576174) + (xy 122.145398 139.492855) + (xy 122.145396 139.492853) + (xy 122.145394 139.492852) + (xy 122.145394 139.492851) + (xy 122.071563 139.436198) + (xy 122.044366 139.393506) + (xy 122.042612 139.37749) + (xy 122.042612 136.671979) + (xy 122.042611 133.940914) + (xy 122.04275 133.93774) + (xy 122.046376 133.896305) + (xy 122.035614 133.856145) + (xy 122.034918 133.853001) + (xy 122.027701 133.81207) + (xy 122.0277 133.812068) + (xy 122.0277 133.812067) + (xy 122.025298 133.807907) + (xy 122.017907 133.790061) + (xy 122.016666 133.785428) + (xy 122.003228 133.766237) + (xy 121.992827 133.751382) + (xy 121.991091 133.748658) + (xy 121.980061 133.729554) + (xy 121.970306 133.712657) + (xy 121.970305 133.712655) + (xy 121.938459 133.685933) + (xy 121.936078 133.683752) + (xy 121.363826 133.1115) + (xy 122.964 133.1115) + (xy 124.514 133.1115) + (xy 124.514 132.249) + (xy 124.914 132.249) + (xy 124.914 133.1115) + (xy 126.464 133.1115) + (xy 126.464 132.833358) + (xy 126.461099 132.796506) + (xy 126.415281 132.6388) + (xy 126.331682 132.497443) + (xy 126.215556 132.381317) + (xy 126.074199 132.297718) + (xy 125.916491 132.2519) + (xy 125.916494 132.2519) + (xy 125.879641 132.249) + (xy 124.914 132.249) + (xy 124.514 132.249) + (xy 123.548359 132.249) + (xy 123.511506 132.2519) + (xy 123.3538 132.297718) + (xy 123.212443 132.381317) + (xy 123.096317 132.497443) + (xy 123.012718 132.6388) + (xy 122.9669 132.796506) + (xy 122.964 132.833358) + (xy 122.964 133.1115) + (xy 121.363826 133.1115) + (xy 103.395961 115.143635) + (xy 103.374569 115.097759) + (xy 103.382044 115.058326) + (xy 103.434229 114.953528) + (xy 103.495115 114.739536) + (xy 103.51114 114.566598) + (xy 103.515643 114.518003) + (xy 103.515643 114.517996) + (xy 103.495116 114.296475) + (xy 103.495115 114.296464) + (xy 103.434229 114.082472) + (xy 103.335058 113.883311) + (xy 103.335057 113.88331) + (xy 103.335057 113.883309) + (xy 103.335055 113.883306) + (xy 103.200983 113.705767) + (xy 103.200981 113.705764) + (xy 103.036562 113.555876) + (xy 102.847401 113.438753) + (xy 102.786168 113.415031) + (xy 102.639942 113.358382) + (xy 102.421246 113.3175) + (xy 102.421243 113.3175) + (xy 102.198757 113.3175) + (xy 102.198753 113.3175) + (xy 101.980057 113.358382) + (xy 101.772604 113.438751) + (xy 101.772599 113.438753) + (xy 101.772594 113.438755) + (xy 101.772594 113.438756) + (xy 101.58344 113.555874) + (xy 101.419016 113.705767) + (xy 101.284944 113.883306) + (xy 101.284942 113.883309) + (xy 101.185771 114.082472) + (xy 101.185769 114.082477) + (xy 101.169758 114.138751) + (xy 101.140089 114.179764) + (xy 101.098583 114.1925) + (xy 97.021417 114.1925) + (xy 96.973851 114.175187) + (xy 96.950242 114.138751) + (xy 96.947894 114.130499) + (xy 96.934229 114.082472) + (xy 96.835058 113.883311) + (xy 96.835057 113.88331) + (xy 96.835057 113.883309) + (xy 96.835055 113.883306) + (xy 96.700983 113.705767) + (xy 96.700981 113.705764) + (xy 96.536562 113.555876) + (xy 96.347401 113.438753) + (xy 96.286168 113.415031) + (xy 96.139942 113.358382) + (xy 95.921246 113.3175) + (xy 95.921243 113.3175) + (xy 95.698757 113.3175) + (xy 95.698753 113.3175) + (xy 95.480057 113.358382) + (xy 95.272604 113.438751) + (xy 95.272599 113.438753) + (xy 95.272594 113.438755) + (xy 95.272594 113.438756) + (xy 95.08344 113.555874) + (xy 94.919016 113.705767) + (xy 94.784944 113.883306) + (xy 94.784942 113.883309) + (xy 94.685771 114.082472) + (xy 94.685769 114.082477) + (xy 94.669758 114.138751) + (xy 94.640089 114.179764) + (xy 94.598583 114.1925) + (xy 93.87855 114.1925) + (xy 93.830984 114.175187) + (xy 93.81901 114.162443) + (xy 93.768711 114.094289) + (xy 93.768708 114.094286) + (xy 93.768706 114.094284) + (xy 93.662476 114.015884) + (xy 93.662474 114.015883) + (xy 93.537854 113.972276) + (xy 93.537855 113.972276) + (xy 93.53785 113.972275) + (xy 93.537849 113.972275) + (xy 93.508256 113.9695) + (xy 92.927744 113.9695) + (xy 92.898151 113.972275) + (xy 92.898149 113.972275) + (xy 92.898145 113.972276) + (xy 92.773526 114.015882) + (xy 92.66729 114.094288) + (xy 92.667288 114.09429) + (xy 92.588882 114.200526) + (xy 92.545276 114.325145) + (xy 92.545275 114.325149) + (xy 92.545275 114.325151) + (xy 92.5425 114.354744) + (xy 92.5425 114.960256) + (xy 92.545275 114.989849) + (xy 92.545275 114.989851) + (xy 92.545276 114.989854) + (xy 92.588882 115.114473) + (xy 92.610405 115.143635) + (xy 92.667289 115.220711) + (xy 92.745694 115.278576) + (xy 92.773526 115.299117) + (xy 92.898145 115.342723) + (xy 92.898151 115.342725) + (xy 92.927744 115.3455) + (xy 92.927751 115.3455) + (xy 93.508249 115.3455) + (xy 93.508256 115.3455) + (xy 93.537849 115.342725) + (xy 93.594532 115.32289) + (xy 93.662473 115.299117) + (xy 93.662473 115.299116) + (xy 93.662475 115.299116) + (xy 93.768711 115.220711) + (xy 93.847116 115.114475) + (xy 93.850087 115.105986) + (xy 93.890723 114.989854) + (xy 93.890722 114.989854) + (xy 93.890725 114.989849) + (xy 93.8935 114.960256) + (xy 93.8935 114.9175) + (xy 93.910813 114.869934) + (xy 93.95465 114.844624) + (xy 93.9675 114.8435) + (xy 94.598583 114.8435) + (xy 94.646149 114.860813) + (xy 94.669758 114.897249) + (xy 94.685771 114.953528) + (xy 94.735356 115.053108) + (xy 94.784942 115.15269) + (xy 94.784944 115.152693) + (xy 94.895518 115.299116) + (xy 94.919019 115.330236) + (xy 95.083438 115.480124) + (xy 95.272599 115.597247) + (xy 95.48006 115.677618) + (xy 95.698757 115.7185) + (xy 95.921243 115.7185) + (xy 96.13994 115.677618) + (xy 96.347401 115.597247) + (xy 96.536562 115.480124) + (xy 96.700981 115.330236) + (xy 96.835058 115.152689) + (xy 96.934229 114.953528) + (xy 96.950241 114.897249) + (xy 96.979911 114.856236) + (xy 97.021417 114.8435) + (xy 101.098583 114.8435) + (xy 101.146149 114.860813) + (xy 101.169758 114.897249) + (xy 101.185771 114.953528) + (xy 101.235356 115.053108) + (xy 101.284942 115.15269) + (xy 101.284944 115.152693) + (xy 101.395518 115.299116) + (xy 101.419019 115.330236) + (xy 101.583438 115.480124) + (xy 101.772599 115.597247) + (xy 101.98006 115.677618) + (xy 102.198757 115.7185) + (xy 102.421243 115.7185) + (xy 102.63994 115.677618) + (xy 102.847401 115.597247) + (xy 102.847898 115.596938) + (xy 102.848107 115.596895) + (xy 102.850468 115.59572) + (xy 102.850776 115.596338) + (xy 102.897451 115.586607) + (xy 102.939194 115.60752) + (xy 121.369938 134.038264) + (xy 121.39133 134.08414) + (xy 121.391612 134.09059) + (xy 121.391612 139.37749) + (xy 121.374299 139.425056) + (xy 121.362661 139.436198) + (xy 121.288827 139.492853) + (xy 121.288825 139.492855) + (xy 121.192576 139.618291) + (xy 121.132067 139.764371) + (xy 121.128947 139.788073) + (xy 121.105573 139.832973) + (xy 121.058806 139.852343) + (xy 121.010532 139.837121) + (xy 120.90332 139.754855) + (xy 120.887756 139.738597) + (xy 120.874685 139.719929) + (xy 120.872963 139.717226) + (xy 120.864461 139.7025) + (xy 120.852177 139.681223) + (xy 120.851704 139.680826) + (xy 120.820336 139.654504) + (xy 120.817955 139.652323) + (xy 117.344662 136.179031) + (xy 117.342481 136.176651) + (xy 117.339668 136.173299) + (xy 117.31576 136.144806) + (xy 117.298393 136.134778) + (xy 117.279763 136.124022) + (xy 117.277041 136.122288) + (xy 117.24299 136.098446) + (xy 117.242987 136.098445) + (xy 117.238352 136.097203) + (xy 117.220505 136.089811) + (xy 117.216349 136.087411) + (xy 117.175419 136.080193) + (xy 117.172269 136.079495) + (xy 117.132111 136.068735) + (xy 117.13211 136.068735) + (xy 117.099123 136.071622) + (xy 117.090699 136.072359) + (xy 117.087479 136.0725) + (xy 109.630173 136.0725) + (xy 109.582607 136.055187) + (xy 109.577847 136.050826) + (xy 106.048174 132.521152) + (xy 106.026782 132.475276) + (xy 106.0265 132.468826) + (xy 106.0265 131.572) + (xy 106.836326 131.572) + (xy 106.856963 131.72876) + (xy 106.856963 131.728761) + (xy 106.917472 131.874841) + (xy 107.013723 132.000279) + (xy 107.013728 132.000284) + (xy 107.023594 132.007854) + (xy 107.139167 132.096536) + (xy 107.285246 132.157044) + (xy 107.442008 132.177682) + (xy 107.59877 132.157044) + (xy 107.744849 132.096536) + (xy 107.87029 132.000282) + (xy 107.890667 131.973725) + (xy 107.926942 131.926452) + (xy 107.969633 131.899254) + (xy 107.98565 131.8975) + (xy 113.756358 131.8975) + (xy 113.803924 131.914813) + (xy 113.815066 131.926452) + (xy 113.871715 132.000279) + (xy 113.87172 132.000284) + (xy 113.881586 132.007854) + (xy 113.997159 132.096536) + (xy 114.143238 132.157044) + (xy 114.3 132.177682) + (xy 114.456762 132.157044) + (xy 114.602841 132.096536) + (xy 114.728282 132.000282) + (xy 114.824536 131.874841) + (xy 114.885044 131.728762) + (xy 114.905682 131.572) + (xy 114.885044 131.415238) + (xy 114.824536 131.269159) + (xy 114.773352 131.202455) + (xy 114.728284 131.14372) + (xy 114.728279 131.143715) + (xy 114.602841 131.047464) + (xy 114.456761 130.986955) + (xy 114.3 130.966318) + (xy 114.143239 130.986955) + (xy 114.143238 130.986955) + (xy 113.997158 131.047464) + (xy 113.87172 131.143715) + (xy 113.871715 131.14372) + (xy 113.815066 131.217548) + (xy 113.772375 131.244746) + (xy 113.756358 131.2465) + (xy 107.98565 131.2465) + (xy 107.938084 131.229187) + (xy 107.926942 131.217548) + (xy 107.870292 131.14372) + (xy 107.870287 131.143715) + (xy 107.744849 131.047464) + (xy 107.598769 130.986955) + (xy 107.442008 130.966318) + (xy 107.285247 130.986955) + (xy 107.285246 130.986955) + (xy 107.139166 131.047464) + (xy 107.013728 131.143715) + (xy 107.013723 131.14372) + (xy 106.917472 131.269158) + (xy 106.856963 131.415238) + (xy 106.856963 131.415239) + (xy 106.836326 131.572) + (xy 106.0265 131.572) + (xy 106.0265 126.474817) + (xy 106.026641 126.47159) + (xy 106.030263 126.430194) + (xy 106.030263 126.430193) + (xy 106.025181 126.411228) + (xy 106.0195 126.390028) + (xy 106.018809 126.386915) + (xy 106.011588 126.345955) + (xy 106.009189 126.3418) + (xy 106.001794 126.323945) + (xy 106.000554 126.319317) + (xy 106.000553 126.319314) + (xy 105.976716 126.285272) + (xy 105.97498 126.282548) + (xy 105.954193 126.246544) + (xy 105.922353 126.219826) + (xy 105.919972 126.217645) + (xy 103.395962 123.693635) + (xy 103.37457 123.647759) + (xy 103.382046 123.608324) + (xy 103.424175 123.523718) + (xy 103.434229 123.503528) + (xy 103.495115 123.289536) + (xy 103.501009 123.225927) + (xy 103.515643 123.068003) + (xy 103.515643 123.067996) + (xy 103.495116 122.846475) + (xy 103.495115 122.846464) + (xy 103.434229 122.632472) + (xy 103.335058 122.433311) + (xy 103.335057 122.43331) + (xy 103.335057 122.433309) + (xy 103.335055 122.433306) + (xy 103.200983 122.255767) + (xy 103.200981 122.255764) + (xy 103.036562 122.105876) + (xy 102.847401 121.988753) + (xy 102.752505 121.95199) + (xy 102.639942 121.908382) + (xy 102.421246 121.8675) + (xy 102.421243 121.8675) + (xy 102.198757 121.8675) + (xy 102.198753 121.8675) + (xy 101.980057 121.908382) + (xy 101.772604 121.988751) + (xy 101.772599 121.988753) + (xy 101.772594 121.988755) + (xy 101.772594 121.988756) + (xy 101.58344 122.105874) + (xy 101.583437 122.105876) + (xy 101.583438 122.105876) + (xy 101.465393 122.213489) + (xy 101.419016 122.255767) + (xy 101.284944 122.433306) + (xy 101.284942 122.433309) + (xy 101.185771 122.632472) + (xy 101.185769 122.632477) + (xy 101.169758 122.688751) + (xy 101.140089 122.729764) + (xy 101.098583 122.7425) + (xy 97.021417 122.7425) + (xy 96.973851 122.725187) + (xy 96.950242 122.688751) + (xy 96.939752 122.651884) + (xy 96.934229 122.632472) + (xy 96.835058 122.433311) + (xy 96.835057 122.43331) + (xy 96.835057 122.433309) + (xy 96.835055 122.433306) + (xy 96.700983 122.255767) + (xy 96.700981 122.255764) + (xy 96.536562 122.105876) + (xy 96.347401 121.988753) + (xy 96.252505 121.95199) + (xy 96.139942 121.908382) + (xy 95.921246 121.8675) + (xy 95.921243 121.8675) + (xy 95.698757 121.8675) + (xy 95.698753 121.8675) + (xy 95.480057 121.908382) + (xy 95.272604 121.988751) + (xy 95.272599 121.988753) + (xy 95.272594 121.988755) + (xy 95.272594 121.988756) + (xy 95.08344 122.105874) + (xy 95.083437 122.105876) + (xy 95.083438 122.105876) + (xy 94.965393 122.213489) + (xy 94.919016 122.255767) + (xy 94.784944 122.433306) + (xy 94.784942 122.433309) + (xy 94.685771 122.632472) + (xy 94.685769 122.632477) + (xy 94.669758 122.688751) + (xy 94.640089 122.729764) + (xy 94.598583 122.7425) + (xy 93.809607 122.7425) + (xy 93.765665 122.728041) + (xy 93.712428 122.688751) + (xy 93.662475 122.651884) + (xy 93.662474 122.651883) + (xy 93.662472 122.651882) + (xy 93.537854 122.608276) + (xy 93.537855 122.608276) + (xy 93.53785 122.608275) + (xy 93.537849 122.608275) + (xy 93.508256 122.6055) + (xy 92.927744 122.6055) + (xy 92.898151 122.608275) + (xy 92.898149 122.608275) + (xy 92.898145 122.608276) + (xy 92.841941 122.627943) + (xy 92.791326 122.627312) + (xy 92.752958 122.594294) + (xy 92.7435 122.558096) + (xy 92.7435 118.568003) + (xy 94.405202 118.568003) + (xy 94.424362 118.799221) + (xy 94.48132 119.024145) + (xy 94.574515 119.236608) + (xy 94.686753 119.408401) + (xy 95.305435 118.789718) + (xy 95.356442 118.888156) + (xy 95.459638 118.998652) + (xy 95.585594 119.075248) + (xy 94.971439 119.689403) + (xy 95.041646 119.744048) + (xy 95.245697 119.854476) + (xy 95.465145 119.929812) + (xy 95.69399 119.967999) + (xy 95.693993 119.968) + (xy 95.926007 119.968) + (xy 95.926009 119.967999) + (xy 96.154854 119.929812) + (xy 96.374302 119.854476) + (xy 96.57835 119.74405) + (xy 96.64856 119.689402) + (xy 96.030865 119.071708) + (xy 96.098458 119.042349) + (xy 96.215739 118.946934) + (xy 96.302928 118.823415) + (xy 96.314813 118.78997) + (xy 96.933245 119.408402) + (xy 97.045484 119.236608) + (xy 97.138679 119.024145) + (xy 97.195637 118.799221) + (xy 97.214798 118.568003) + (xy 100.905202 118.568003) + (xy 100.924362 118.799221) + (xy 100.98132 119.024145) + (xy 101.074515 119.236608) + (xy 101.186753 119.408401) + (xy 101.805436 118.789719) + (xy 101.856442 118.888156) + (xy 101.959638 118.998652) + (xy 102.085594 119.075248) + (xy 101.471439 119.689403) + (xy 101.541646 119.744048) + (xy 101.745697 119.854476) + (xy 101.965145 119.929812) + (xy 102.19399 119.967999) + (xy 102.193993 119.968) + (xy 102.426007 119.968) + (xy 102.426009 119.967999) + (xy 102.654854 119.929812) + (xy 102.874302 119.854476) + (xy 103.07835 119.74405) + (xy 103.14856 119.689402) + (xy 102.530865 119.071708) + (xy 102.598458 119.042349) + (xy 102.715739 118.946934) + (xy 102.802928 118.823415) + (xy 102.814813 118.78997) + (xy 103.433245 119.408402) + (xy 103.545484 119.236608) + (xy 103.638679 119.024145) + (xy 103.695637 118.799221) + (xy 103.714798 118.568003) + (xy 103.714798 118.567996) + (xy 103.695637 118.336778) + (xy 103.638679 118.111854) + (xy 103.545484 117.899391) + (xy 103.433244 117.727596) + (xy 102.814562 118.346278) + (xy 102.763558 118.247844) + (xy 102.660362 118.137348) + (xy 102.534403 118.06075) + (xy 103.148559 117.446595) + (xy 103.078353 117.391951) + (xy 102.874302 117.281523) + (xy 102.654854 117.206187) + (xy 102.426009 117.168) + (xy 102.19399 117.168) + (xy 101.965145 117.206187) + (xy 101.745697 117.281523) + (xy 101.541645 117.391951) + (xy 101.471439 117.446595) + (xy 101.471438 117.446595) + (xy 102.089134 118.064291) + (xy 102.021542 118.093651) + (xy 101.904261 118.189066) + (xy 101.817072 118.312585) + (xy 101.805186 118.346029) + (xy 101.186754 117.727597) + (xy 101.074513 117.899396) + (xy 100.98132 118.111854) + (xy 100.924362 118.336778) + (xy 100.905202 118.567996) + (xy 100.905202 118.568003) + (xy 97.214798 118.568003) + (xy 97.214798 118.567996) + (xy 97.195637 118.336778) + (xy 97.138679 118.111854) + (xy 97.045484 117.899391) + (xy 96.933244 117.727596) + (xy 96.314562 118.346278) + (xy 96.263558 118.247844) + (xy 96.160362 118.137348) + (xy 96.034404 118.060751) + (xy 96.64856 117.446596) + (xy 96.648559 117.446595) + (xy 96.578353 117.391951) + (xy 96.374302 117.281523) + (xy 96.154854 117.206187) + (xy 95.926009 117.168) + (xy 95.69399 117.168) + (xy 95.465145 117.206187) + (xy 95.245697 117.281523) + (xy 95.041645 117.391951) + (xy 94.971439 117.446595) + (xy 94.971438 117.446595) + (xy 95.589134 118.064291) + (xy 95.521542 118.093651) + (xy 95.404261 118.189066) + (xy 95.317072 118.312585) + (xy 95.305186 118.346029) + (xy 94.686754 117.727597) + (xy 94.574513 117.899396) + (xy 94.48132 118.111854) + (xy 94.424362 118.336778) + (xy 94.405202 118.567996) + (xy 94.405202 118.568003) + (xy 92.7435 118.568003) + (xy 92.7435 117.447978) + (xy 92.760813 117.400412) + (xy 92.765174 117.395652) + (xy 92.968652 117.192174) + (xy 93.014528 117.170782) + (xy 93.020978 117.1705) + (xy 93.508249 117.1705) + (xy 93.508256 117.1705) + (xy 93.537849 117.167725) + (xy 93.594532 117.14789) + (xy 93.662473 117.124117) + (xy 93.662473 117.124116) + (xy 93.662475 117.124116) + (xy 93.768711 117.045711) + (xy 93.847116 116.939475) + (xy 93.890725 116.814849) + (xy 93.8935 116.785256) + (xy 93.8935 116.179744) + (xy 93.890725 116.150151) + (xy 93.890723 116.150145) + (xy 93.847117 116.025526) + (xy 93.820512 115.989477) + (xy 93.768711 115.919289) + (xy 93.718134 115.881962) + (xy 93.662473 115.840882) + (xy 93.537854 115.797276) + (xy 93.537855 115.797276) + (xy 93.53785 115.797275) + (xy 93.537849 115.797275) + (xy 93.508256 115.7945) + (xy 92.927744 115.7945) + (xy 92.898151 115.797275) + (xy 92.898149 115.797275) + (xy 92.898145 115.797276) + (xy 92.773526 115.840882) + (xy 92.66729 115.919288) + (xy 92.667288 115.91929) + (xy 92.588882 116.025526) + (xy 92.545276 116.150145) + (xy 92.5425 116.179749) + (xy 92.5425 116.667021) + (xy 92.525187 116.714587) + (xy 92.520826 116.719347) + (xy 92.419826 116.820347) + (xy 92.37395 116.841739) + (xy 92.325055 116.828638) + (xy 92.296021 116.787174) + (xy 92.2935 116.768021) + (xy 92.2935 112.091476) + (xy 92.310813 112.04391) + (xy 92.315163 112.039161) + (xy 94.287045 110.067279) + (xy 94.332918 110.045889) + (xy 94.381813 110.05899) + (xy 94.410847 110.100454) + (xy 94.413115 110.113496) + (xy 94.424362 110.249221) + (xy 94.48132 110.474145) + (xy 94.574515 110.686608) + (xy 94.686753 110.858401) + (xy 95.305436 110.239719) + (xy 95.356442 110.338156) + (xy 95.459638 110.448652) + (xy 95.585594 110.525248) + (xy 94.971439 111.139403) + (xy 95.041646 111.194048) + (xy 95.245697 111.304476) + (xy 95.465145 111.379812) + (xy 95.69399 111.417999) + (xy 95.693993 111.418) + (xy 95.926007 111.418) + (xy 95.926009 111.417999) + (xy 96.154854 111.379812) + (xy 96.374302 111.304476) + (xy 96.57835 111.19405) + (xy 96.648559 111.139402) + (xy 96.030865 110.521708) + (xy 96.098458 110.492349) + (xy 96.215739 110.396934) + (xy 96.302928 110.273415) + (xy 96.314814 110.23997) + (xy 96.933245 110.858402) + (xy 97.045484 110.686608) + (xy 97.138679 110.474145) + (xy 97.195637 110.249221) + (xy 97.214798 110.018003) + (xy 100.905202 110.018003) + (xy 100.924362 110.249221) + (xy 100.98132 110.474145) + (xy 101.074515 110.686608) + (xy 101.186753 110.858401) + (xy 101.805435 110.239718) + (xy 101.856442 110.338156) + (xy 101.959638 110.448652) + (xy 102.085594 110.525248) + (xy 101.471439 111.139403) + (xy 101.541646 111.194048) + (xy 101.745697 111.304476) + (xy 101.965145 111.379812) + (xy 102.19399 111.417999) + (xy 102.193993 111.418) + (xy 102.426007 111.418) + (xy 102.426009 111.417999) + (xy 102.654854 111.379812) + (xy 102.874302 111.304476) + (xy 103.07835 111.19405) + (xy 103.148559 111.139402) + (xy 102.530865 110.521708) + (xy 102.598458 110.492349) + (xy 102.715739 110.396934) + (xy 102.802928 110.273415) + (xy 102.814814 110.23997) + (xy 103.433245 110.858402) + (xy 103.545484 110.686608) + (xy 103.638679 110.474145) + (xy 103.695637 110.249221) + (xy 103.714798 110.018003) + (xy 103.714798 110.017996) + (xy 103.695637 109.786778) + (xy 103.638679 109.561854) + (xy 103.545484 109.349391) + (xy 103.433244 109.177596) + (xy 102.814562 109.796278) + (xy 102.763558 109.697844) + (xy 102.660362 109.587348) + (xy 102.534404 109.510751) + (xy 103.14856 108.896596) + (xy 103.148559 108.896595) + (xy 103.078353 108.841951) + (xy 102.874302 108.731523) + (xy 102.654854 108.656187) + (xy 102.426009 108.618) + (xy 102.19399 108.618) + (xy 101.965145 108.656187) + (xy 101.745697 108.731523) + (xy 101.541645 108.841951) + (xy 101.471439 108.896595) + (xy 101.471438 108.896595) + (xy 102.089134 109.514291) + (xy 102.021542 109.543651) + (xy 101.904261 109.639066) + (xy 101.817072 109.762585) + (xy 101.805186 109.796029) + (xy 101.186754 109.177597) + (xy 101.074513 109.349396) + (xy 100.98132 109.561854) + (xy 100.924362 109.786778) + (xy 100.905202 110.017996) + (xy 100.905202 110.018003) + (xy 97.214798 110.018003) + (xy 97.214798 110.017996) + (xy 97.195637 109.786778) + (xy 97.138679 109.561854) + (xy 97.045484 109.349391) + (xy 96.933244 109.177596) + (xy 96.314562 109.796278) + (xy 96.263558 109.697844) + (xy 96.160362 109.587348) + (xy 96.034405 109.510751) + (xy 96.64856 108.896596) + (xy 96.648559 108.896595) + (xy 96.578353 108.841951) + (xy 96.374302 108.731523) + (xy 96.154854 108.656187) + (xy 95.926009 108.618) + (xy 95.914977 108.618) + (xy 95.867411 108.600687) + (xy 95.842101 108.55685) + (xy 95.850891 108.507) + (xy 95.862651 108.491674) + (xy 98.197916 106.156409) + (xy 100.783534 103.57079) + (xy 100.829409 103.549399) + (xy 100.878304 103.5625) + (xy 100.907338 103.603964) + (xy 100.908437 103.608678) + (xy 100.911133 103.622233) + (xy 100.946535 103.675214) + (xy 100.955448 103.688552) + (xy 100.99956 103.718027) + (xy 101.021767 103.732866) + (xy 101.021768 103.732866) + (xy 101.021769 103.732867) + (xy 101.080252 103.7445) + (xy 101.080254 103.7445) + (xy 102.119746 103.7445) + (xy 102.119748 103.7445) + (xy 102.178231 103.732867) + (xy 102.244552 103.688552) + (xy 102.288867 103.622231) + (xy 102.3005 103.563748) + (xy 102.3005 101.024252) + (xy 102.288867 100.965769) + (xy 102.244552 100.899448) + (xy 102.222343 100.884608) + (xy 102.178232 100.855133) + (xy 102.178233 100.855133) + (xy 102.148989 100.849316) + (xy 102.119748 100.8435) + (xy 101.080252 100.8435) + (xy 101.05101 100.849316) + (xy 101.021767 100.855133) + (xy 100.955449 100.899447) + (xy 100.955447 100.899449) + (xy 100.911133 100.965767) + (xy 100.8995 101.024253) + (xy 100.8995 102.503522) + (xy 100.882187 102.551088) + (xy 100.877826 102.555848) + (xy 99.886826 103.546848) + (xy 99.84095 103.56824) + (xy 99.792055 103.555139) + (xy 99.763021 103.513675) + (xy 99.7605 103.494522) + (xy 99.7605 101.024253) + (xy 99.7605 101.024252) + (xy 99.748867 100.965769) + (xy 99.704552 100.899448) + (xy 99.682343 100.884608) + (xy 99.638232 100.855133) + (xy 99.638233 100.855133) + (xy 99.608989 100.849316) + (xy 99.579748 100.8435) + (xy 98.540252 100.8435) + (xy 98.51101 100.849316) + (xy 98.481767 100.855133) + (xy 98.415449 100.899447) + (xy 98.415447 100.899449) + (xy 98.371133 100.965767) + (xy 98.3595 101.024253) + (xy 98.3595 103.563746) + (xy 98.371133 103.622232) + (xy 98.371134 103.622233) + (xy 98.415448 103.688552) + (xy 98.45956 103.718027) + (xy 98.481767 103.732866) + (xy 98.481768 103.732866) + (xy 98.481769 103.732867) + (xy 98.540252 103.7445) + (xy 98.540254 103.7445) + (xy 99.510522 103.7445) + (xy 99.558088 103.761813) + (xy 99.583398 103.80565) + (xy 99.574608 103.8555) + (xy 99.562848 103.870826) + (xy 91.969826 111.463848) + (xy 91.92395 111.48524) + (xy 91.875055 111.472139) + (xy 91.846021 111.430675) + (xy 91.8435 111.411522) + (xy 91.8435 103.563746) + (xy 95.8195 103.563746) + (xy 95.831133 103.622232) + (xy 95.831134 103.622233) + (xy 95.875448 103.688552) + (xy 95.91956 103.718027) + (xy 95.941767 103.732866) + (xy 95.941768 103.732866) + (xy 95.941769 103.732867) + (xy 96.000252 103.7445) + (xy 96.000254 103.7445) + (xy 97.039746 103.7445) + (xy 97.039748 103.7445) + (xy 97.098231 103.732867) + (xy 97.164552 103.688552) + (xy 97.208867 103.622231) + (xy 97.2205 103.563748) + (xy 97.2205 101.024252) + (xy 97.208867 100.965769) + (xy 97.164552 100.899448) + (xy 97.142343 100.884608) + (xy 97.098232 100.855133) + (xy 97.098233 100.855133) + (xy 97.068989 100.849316) + (xy 97.039748 100.8435) + (xy 96.000252 100.8435) + (xy 95.97101 100.849316) + (xy 95.941767 100.855133) + (xy 95.875449 100.899447) + (xy 95.875447 100.899449) + (xy 95.831133 100.965767) + (xy 95.8195 101.024253) + (xy 95.8195 103.563746) + (xy 91.8435 103.563746) + (xy 91.8435 100.141478) + (xy 91.860813 100.093912) + (xy 91.865174 100.089152) + (xy 96.293566 95.66076) + (xy 100.783534 91.170791) + (xy 100.829409 91.1494) + (xy 100.878304 91.162501) + (xy 100.907338 91.203965) + (xy 100.908436 91.208678) + (xy 100.911132 91.222229) + (xy 100.911133 91.222232) + (xy 100.923006 91.24) + (xy 100.955448 91.288552) + (xy 100.99956 91.318027) + (xy 101.021767 91.332866) + (xy 101.021768 91.332866) + (xy 101.021769 91.332867) + (xy 101.080252 91.3445) + (xy 101.080254 91.3445) + (xy 102.119746 91.3445) + (xy 102.119748 91.3445) + (xy 102.178231 91.332867) + (xy 102.244552 91.288552) + (xy 102.288867 91.222231) + (xy 102.3005 91.163748) + (xy 102.3005 88.624252) + (xy 102.288867 88.565769) + (xy 102.282049 88.555566) + (xy 102.2686 88.535438) + (xy 102.244552 88.499448) + (xy 102.222343 88.484608) + (xy 102.178232 88.455133) + (xy 102.178233 88.455133) + (xy 102.148989 88.449316) + (xy 102.119748 88.4435) + (xy 101.080252 88.4435) + (xy 101.05101 88.449316) + (xy 101.021767 88.455133) + (xy 100.955449 88.499447) + (xy 100.955447 88.499449) + (xy 100.911133 88.565767) + (xy 100.8995 88.624253) + (xy 100.8995 90.103521) + (xy 100.882187 90.151087) + (xy 100.877826 90.155847) + (xy 99.886826 91.146847) + (xy 99.84095 91.168239) + (xy 99.792055 91.155138) + (xy 99.763021 91.113674) + (xy 99.7605 91.094521) + (xy 99.7605 88.624253) + (xy 99.7605 88.624252) + (xy 99.748867 88.565769) + (xy 99.742049 88.555566) + (xy 99.7286 88.535438) + (xy 99.704552 88.499448) + (xy 99.682343 88.484608) + (xy 99.638232 88.455133) + (xy 99.638233 88.455133) + (xy 99.608989 88.449316) + (xy 99.579748 88.4435) + (xy 98.540252 88.4435) + (xy 98.51101 88.449316) + (xy 98.481767 88.455133) + (xy 98.415449 88.499447) + (xy 98.415447 88.499449) + (xy 98.371133 88.565767) + (xy 98.371132 88.565769) + (xy 98.371133 88.565769) + (xy 98.3595 88.624252) + (xy 98.3595 91.163748) + (xy 98.36339 91.183302) + (xy 98.371133 91.222232) + (xy 98.383006 91.24) + (xy 98.415448 91.288552) + (xy 98.45956 91.318027) + (xy 98.481767 91.332866) + (xy 98.481768 91.332866) + (xy 98.481769 91.332867) + (xy 98.540252 91.3445) + (xy 98.540254 91.3445) + (xy 99.510522 91.3445) + (xy 99.558088 91.361813) + (xy 99.583398 91.40565) + (xy 99.574608 91.4555) + (xy 99.562848 91.470826) + (xy 91.29903 99.734641) + (xy 91.29665 99.736822) + (xy 91.264808 99.763542) + (xy 91.264805 99.763545) + (xy 91.244019 99.799546) + (xy 91.242285 99.802268) + (xy 91.218447 99.836312) + (xy 91.218445 99.836317) + (xy 91.217201 99.840959) + (xy 91.209815 99.85879) + (xy 91.207412 99.862951) + (xy 91.207412 99.862952) + (xy 91.200193 99.903884) + (xy 91.199495 99.907033) + (xy 91.188735 99.947192) + (xy 91.188735 99.947194) + (xy 91.192359 99.988598) + (xy 91.1925 99.991825) + (xy 91.1925 138.154275) + (xy 91.192359 138.157502) + (xy 91.188735 138.198907) + (xy 91.188735 138.198908) + (xy 91.199495 138.239066) + (xy 91.200193 138.242216) + (xy 91.207411 138.283146) + (xy 91.209811 138.287302) + (xy 91.217203 138.305149) + (xy 91.218445 138.309784) + (xy 91.218446 138.309787) + (xy 91.242288 138.343838) + (xy 91.244022 138.34656) + (xy 91.247721 138.352966) + (xy 91.264806 138.382557) + (xy 91.264808 138.382559) + (xy 91.264807 138.382559) + (xy 91.281938 138.396932) + (xy 91.296658 138.409284) + (xy 91.299027 138.411455) + (xy 94.140825 141.253253) + (xy 94.162217 141.299129) + (xy 94.162499 141.305579) + (xy 94.162499 150.98856) + (xy 94.162358 150.991786) + (xy 94.158735 151.033203) + (xy 94.169495 151.07336) + (xy 94.170193 151.07651) + (xy 94.177411 151.11744) + (xy 94.179811 151.121596) + (xy 94.187203 151.139443) + (xy 94.188445 151.144078) + (xy 94.188446 151.144081) + (xy 94.212288 151.178132) + (xy 94.214022 151.180854) + (xy 94.221055 151.193034) + (xy 94.234806 151.216851) + (xy 94.234808 151.216853) + (xy 94.234807 151.216853) + (xy 94.247186 151.227239) + (xy 94.266658 151.243578) + (xy 94.269027 151.245749) + (xy 99.452251 156.428973) + (xy 99.454423 156.431343) + (xy 99.465515 156.444562) + (xy 99.478653 156.46022) + (xy 99.481149 156.463194) + (xy 99.51715 156.483979) + (xy 99.519874 156.485715) + (xy 99.553918 156.509553) + (xy 99.55392 156.509554) + (xy 99.558553 156.510795) + (xy 99.576399 156.518186) + (xy 99.580559 156.520588) + (xy 99.580562 156.520588) + (xy 99.580563 156.520589) + (xy 99.580562 156.520589) + (xy 99.621492 156.527806) + (xy 99.624642 156.528504) + (xy 99.664797 156.539264) + (xy 99.664797 156.539263) + (xy 99.664798 156.539264) + (xy 99.672644 156.538577) + (xy 99.706214 156.53564) + (xy 99.709433 156.5355) + (xy 109.966174 156.5355) + (xy 109.969394 156.53564) + (xy 109.995546 156.537928) + (xy 110.010805 156.539264) + (xy 110.010805 156.539263) + (xy 110.010807 156.539264) + (xy 110.050967 156.528502) + (xy 110.0541 156.527807) + (xy 110.095045 156.520588) + (xy 110.099194 156.518191) + (xy 110.117055 156.510794) + (xy 110.117059 156.510793) + (xy 110.121684 156.509554) + (xy 110.155732 156.485712) + (xy 110.15845 156.48398) + (xy 110.194455 156.463194) + (xy 110.221187 156.431334) + (xy 110.223352 156.428973) + (xy 116.365099 150.287225) + (xy 116.410974 150.265834) + (xy 116.459869 150.278935) + (xy 116.488903 150.320399) + (xy 116.490791 150.349209) + (xy 116.488318 150.367997) + (xy 116.488318 150.368) + (xy 116.500464 150.460265) + (xy 116.489508 150.509684) + (xy 116.479423 150.522249) + (xy 109.730848 157.270826) + (xy 109.684972 157.292218) + (xy 109.678522 157.2925) + (xy 99.871478 157.2925) + (xy 99.823912 157.275187) + (xy 99.819152 157.270826) + (xy 93.311173 150.762847) + (xy 93.289781 150.716971) + (xy 93.2895 150.710543) + (xy 93.2895 142.234529) + (xy 93.306813 142.186963) + (xy 93.318453 142.17582) + (xy 93.338703 142.160282) + (xy 93.434957 142.034841) + (xy 93.495465 141.888762) + (xy 93.516103 141.732) + (xy 93.495465 141.575238) + (xy 93.434957 141.429159) + (xy 93.365056 141.338062) + (xy 93.338705 141.30372) + (xy 93.3387 141.303715) + (xy 93.213262 141.207464) + (xy 93.067182 141.146955) + (xy 92.910421 141.126318) + (xy 92.75366 141.146955) + (xy 92.753659 141.146955) + (xy 92.607579 141.207464) + (xy 92.482141 141.303715) + (xy 92.482134 141.303722) + (xy 92.47988 141.30666) + (xy 92.437185 141.333853) + (xy 92.387 141.32724) + (xy 92.352807 141.289916) + (xy 92.34781 141.27126) + (xy 92.346243 141.259359) + (xy 92.346243 141.259356) + (xy 92.326307 141.211226) + (xy 92.285735 141.113277) + (xy 92.189481 140.987836) + (xy 92.189478 140.987833) + (xy 92.06404 140.891582) + (xy 91.91796 140.831073) + (xy 91.761199 140.810436) + (xy 91.604438 140.831073) + (xy 91.604437 140.831073) + (xy 91.458357 140.891582) + (xy 91.332919 140.987833) + (xy 91.332914 140.987838) + (xy 91.236663 141.113276) + (xy 91.176154 141.259356) + (xy 91.176154 141.259357) + (xy 91.155517 141.416118) + (xy 90.0005 141.416118) + (xy 90.0005 90.094) + (xy 95.620001 90.094) + (xy 95.620001 91.175482) + (xy 95.634834 91.269148) + (xy 95.634835 91.269149) + (xy 95.69236 91.382046) + (xy 95.781953 91.471639) + (xy 95.89485 91.529164) + (xy 95.988518 91.543999) + (xy 96.32 91.543999) + (xy 96.32 90.094) + (xy 96.72 90.094) + (xy 96.72 91.543999) + (xy 97.051481 91.543999) + (xy 97.145148 91.529165) + (xy 97.145149 91.529164) + (xy 97.258046 91.471639) + (xy 97.347639 91.382046) + (xy 97.405164 91.269149) + (xy 97.405164 91.269148) + (xy 97.42 91.175481) + (xy 97.42 90.094) + (xy 96.72 90.094) + (xy 96.32 90.094) + (xy 95.620001 90.094) + (xy 90.0005 90.094) + (xy 90.0005 89.694) + (xy 95.62 89.694) + (xy 96.32 89.694) + (xy 96.32 88.244) + (xy 96.72 88.244) + (xy 96.72 89.694) + (xy 97.419999 89.694) + (xy 97.419999 88.612517) + (xy 97.405165 88.518851) + (xy 97.405164 88.51885) + (xy 97.347639 88.405953) + (xy 97.258046 88.31636) + (xy 97.145149 88.258835) + (xy 97.051482 88.244) + (xy 96.72 88.244) + (xy 96.32 88.244) + (xy 95.988518 88.244) + (xy 95.894851 88.258834) + (xy 95.89485 88.258835) + (xy 95.781953 88.31636) + (xy 95.69236 88.405953) + (xy 95.634835 88.51885) + (xy 95.634835 88.518851) + (xy 95.62 88.612518) + (xy 95.62 89.694) + (xy 90.0005 89.694) + (xy 90.0005 79.756) + (xy 100.740318 79.756) + (xy 100.760955 79.91276) + (xy 100.760955 79.912761) + (xy 100.821464 80.058841) + (xy 100.917715 80.184279) + (xy 100.91772 80.184284) + (xy 101.001345 80.248451) + (xy 101.043159 80.280536) + (xy 101.189238 80.341044) + (xy 101.346 80.361682) + (xy 101.438264 80.349534) + (xy 101.487682 80.36049) + (xy 101.500249 80.370575) + (xy 108.618826 87.489152) + (xy 108.640218 87.535028) + (xy 108.6405 87.541478) + (xy 108.6405 110.220173) + (xy 108.640359 110.2234) + (xy 108.636735 110.264805) + (xy 108.636735 110.264806) + (xy 108.647495 110.304964) + (xy 108.648193 110.308114) + (xy 108.655411 110.349044) + (xy 108.657811 110.3532) + (xy 108.665203 110.371047) + (xy 108.666445 110.375682) + (xy 108.666446 110.375685) + (xy 108.690288 110.409736) + (xy 108.692022 110.412458) + (xy 108.700812 110.427681) + (xy 108.712806 110.448455) + (xy 108.712808 110.448457) + (xy 108.712807 110.448457) + (xy 108.728212 110.461382) + (xy 108.744658 110.475182) + (xy 108.747027 110.477353) + (xy 121.905203 123.63553) + (xy 121.926595 123.681406) + (xy 121.913494 123.730301) + (xy 121.881196 123.756223) + (xy 121.740778 123.814386) + (xy 121.740776 123.814387) + (xy 121.740777 123.814387) + (xy 121.674545 123.865208) + (xy 121.629498 123.8805) + (xy 114.589642 123.8805) + (xy 114.542076 123.863187) + (xy 114.530934 123.851548) + (xy 114.474284 123.77772) + (xy 114.474279 123.777715) + (xy 114.348841 123.681464) + (xy 114.202761 123.620955) + (xy 114.046 123.600318) + (xy 113.889239 123.620955) + (xy 113.889238 123.620955) + (xy 113.743158 123.681464) + (xy 113.61772 123.777715) + (xy 113.617715 123.77772) + (xy 113.521464 123.903158) + (xy 113.460955 124.049238) + (xy 113.460955 124.049239) + (xy 113.440318 124.206) + (xy 113.460955 124.36276) + (xy 113.460955 124.362761) + (xy 113.521464 124.508841) + (xy 113.617715 124.634279) + (xy 113.61772 124.634284) + (xy 113.64384 124.654326) + (xy 113.743159 124.730536) + (xy 113.889238 124.791044) + (xy 114.046 124.811682) + (xy 114.202762 124.791044) + (xy 114.348841 124.730536) + (xy 114.474282 124.634282) + (xy 114.518096 124.577182) + (xy 114.530934 124.560452) + (xy 114.573625 124.533254) + (xy 114.589642 124.5315) + (xy 121.423964 124.5315) + (xy 121.47153 124.548813) + (xy 121.492331 124.577182) + (xy 121.519081 124.641763) + (xy 121.615333 124.767202) + (xy 121.615338 124.767207) + (xy 121.673298 124.811681) + (xy 121.740777 124.863459) + (xy 121.886856 124.923967) + (xy 122.043618 124.944605) + (xy 122.20038 124.923967) + (xy 122.346459 124.863459) + (xy 122.4719 124.767205) + (xy 122.568154 124.641764) + (xy 122.626317 124.501343) + (xy 122.660514 124.464024) + (xy 122.7107 124.457417) + (xy 122.74701 124.477337) + (xy 125.115423 126.845749) + (xy 125.136815 126.891625) + (xy 125.136464 126.907733) + (xy 125.124318 126.999999) + (xy 125.124318 127) + (xy 125.127446 127.023765) + (xy 125.144955 127.15676) + (xy 125.144955 127.156761) + (xy 125.205464 127.302841) + (xy 125.301715 127.428279) + (xy 125.30172 127.428284) + (xy 125.385345 127.492451) + (xy 125.427159 127.524536) + (xy 125.573238 127.585044) + (xy 125.73 127.605682) + (xy 125.886762 127.585044) + (xy 126.032841 127.524536) + (xy 126.158282 127.428282) + (xy 126.254536 127.302841) + (xy 126.315044 127.156762) + (xy 126.335682 127) + (xy 126.315044 126.843238) + (xy 126.254536 126.697159) + (xy 126.175246 126.593826) + (xy 126.158284 126.57172) + (xy 126.158279 126.571715) + (xy 126.032841 126.475464) + (xy 125.886761 126.414955) + (xy 125.739031 126.395507) + (xy 125.73 126.394318) + (xy 125.729999 126.394318) + (xy 125.637733 126.406464) + (xy 125.588314 126.395507) + (xy 125.575749 126.385423) + (xy 117.457086 118.26676) + (xy 109.313172 110.122845) + (xy 109.291781 110.076971) + (xy 109.2915 110.070543) + (xy 109.2915 87.391814) + (xy 109.291641 87.388587) + (xy 109.292979 87.373302) + (xy 109.295263 87.347193) + (xy 109.2845 87.307028) + (xy 109.283809 87.303915) + (xy 109.276588 87.262955) + (xy 109.274189 87.2588) + (xy 109.266794 87.240945) + (xy 109.265554 87.236317) + (xy 109.265553 87.236314) + (xy 109.241716 87.202272) + (xy 109.23998 87.199548) + (xy 109.229272 87.181001) + (xy 109.219194 87.163545) + (xy 109.219193 87.163544) + (xy 109.187353 87.136826) + (xy 109.184972 87.134645) + (xy 101.960575 79.910249) + (xy 101.939183 79.864373) + (xy 101.939533 79.848269) + (xy 101.951682 79.756) + (xy 101.931044 79.599238) + (xy 101.870536 79.453159) + (xy 101.843534 79.417969) + (xy 101.778565 79.333299) + (xy 101.777052 79.328503) + (xy 101.7687 79.323434) + (xy 101.648841 79.231464) + (xy 101.502761 79.170955) + (xy 101.346 79.150318) + (xy 101.189239 79.170955) + (xy 101.189238 79.170955) + (xy 101.043158 79.231464) + (xy 100.91772 79.327715) + (xy 100.917715 79.32772) + (xy 100.821464 79.453158) + (xy 100.760955 79.599238) + (xy 100.760955 79.599239) + (xy 100.740318 79.756) + (xy 90.0005 79.756) + (xy 90.0005 78.232) + (xy 100.740318 78.232) + (xy 100.760955 78.38876) + (xy 100.760955 78.388761) + (xy 100.821464 78.534841) + (xy 100.917715 78.660279) + (xy 100.91772 78.660284) + (xy 101.001345 78.724451) + (xy 101.043159 78.756536) + (xy 101.189238 78.817044) + (xy 101.346 78.837682) + (xy 101.438264 78.825534) + (xy 101.487682 78.83649) + (xy 101.500249 78.846575) + (xy 101.866074 79.2124) + (xy 101.8718 79.224679) + (xy 101.877033 79.22584) + (xy 101.889599 79.235925) + (xy 109.634826 86.981152) + (xy 109.656218 87.027028) + (xy 109.6565 87.033478) + (xy 109.6565 109.458171) + (xy 109.656359 109.461398) + (xy 109.652735 109.502803) + (xy 109.652735 109.502804) + (xy 109.663495 109.542962) + (xy 109.664193 109.546112) + (xy 109.671411 109.587042) + (xy 109.673811 109.591198) + (xy 109.681203 109.609045) + (xy 109.682445 109.61368) + (xy 109.682446 109.613683) + (xy 109.706288 109.647734) + (xy 109.708022 109.650456) + (xy 109.708311 109.650956) + (xy 109.728806 109.686453) + (xy 109.728808 109.686455) + (xy 109.728807 109.686455) + (xy 109.742381 109.697844) + (xy 109.760658 109.71318) + (xy 109.763027 109.715351) + (xy 125.369423 125.321747) + (xy 125.390815 125.367623) + (xy 125.390464 125.383731) + (xy 125.378318 125.475997) + (xy 125.398955 125.632758) + (xy 125.398955 125.632759) + (xy 125.459464 125.778839) + (xy 125.555715 125.904277) + (xy 125.55572 125.904282) + (xy 125.604812 125.941951) + (xy 125.681159 126.000534) + (xy 125.827238 126.061042) + (xy 125.984 126.08168) + (xy 126.140762 126.061042) + (xy 126.286841 126.000534) + (xy 126.412282 125.90428) + (xy 126.508536 125.778839) + (xy 126.569044 125.63276) + (xy 126.589682 125.475998) + (xy 126.569044 125.319236) + (xy 126.508536 125.173157) + (xy 126.412282 125.047716) + (xy 126.412279 125.047713) + (xy 126.286841 124.951462) + (xy 126.140761 124.890953) + (xy 125.993031 124.871505) + (xy 125.984 124.870316) + (xy 125.983999 124.870316) + (xy 125.891733 124.882462) + (xy 125.842314 124.871505) + (xy 125.829749 124.861421) + (xy 110.329174 109.360845) + (xy 110.307782 109.314969) + (xy 110.3075 109.308519) + (xy 110.3075 92.51) + (xy 121.686 92.51) + (xy 122.473617 92.51) + (xy 122.436 92.638111) + (xy 122.436 92.781889) + (xy 122.473617 92.91) + (xy 121.686001 92.91) + (xy 121.686001 93.591482) + (xy 121.700834 93.685148) + (xy 121.700835 93.685149) + (xy 121.75836 93.798046) + (xy 121.847953 93.887639) + (xy 121.96085 93.945164) + (xy 122.054518 93.959999) + (xy 122.41087 93.959999) + (xy 122.458436 93.977312) + (xy 122.483746 94.021149) + (xy 122.474956 94.070999) + (xy 122.442144 94.101066) + (xy 122.308612 94.163333) + (xy 122.129445 94.288787) + (xy 121.974787 94.443445) + (xy 121.849334 94.622612) + (xy 121.756899 94.820838) + (xy 121.756894 94.820849) + (xy 121.700288 95.032106) + (xy 121.700287 95.032113) + (xy 121.698723 95.05) + (xy 122.473617 95.05) + (xy 122.436 95.178111) + (xy 122.436 95.321889) + (xy 122.473617 95.45) + (xy 121.698723 95.45) + (xy 121.700287 95.467886) + (xy 121.700288 95.467893) + (xy 121.756895 95.679153) + (xy 121.849334 95.877388) + (xy 121.974785 96.056551) + (xy 122.129448 96.211214) + (xy 122.308611 96.336665) + (xy 122.506846 96.429104) + (xy 122.718106 96.485711) + (xy 122.718113 96.485712) + (xy 122.736 96.487277) + (xy 122.736 95.708335) + (xy 122.793685 95.73468) + (xy 122.900237 95.75) + (xy 122.971763 95.75) + (xy 123.078315 95.73468) + (xy 123.136 95.708335) + (xy 123.136 96.487276) + (xy 123.153886 96.485712) + (xy 123.153893 96.485711) + (xy 123.365153 96.429104) + (xy 123.563388 96.336665) + (xy 123.742551 96.211214) + (xy 123.897214 96.056551) + (xy 124.022665 95.877388) + (xy 124.115104 95.679153) + (xy 124.162036 95.504) + (xy 141.126318 95.504) + (xy 141.146955 95.66076) + (xy 141.146955 95.660761) + (xy 141.207464 95.806841) + (xy 141.303715 95.932279) + (xy 141.30372 95.932284) + (xy 141.387345 95.996451) + (xy 141.429159 96.028536) + (xy 141.575238 96.089044) + (xy 141.732 96.109682) + (xy 141.888762 96.089044) + (xy 142.034841 96.028536) + (xy 142.160282 95.932282) + (xy 142.201077 95.879117) + (xy 142.216934 95.858452) + (xy 142.259625 95.831254) + (xy 142.275642 95.8295) + (xy 142.556948 95.8295) + (xy 142.600888 95.843959) + (xy 142.637578 95.871037) + (xy 142.648526 95.879117) + (xy 142.773145 95.922723) + (xy 142.773151 95.922725) + (xy 142.802744 95.9255) + (xy 142.802751 95.9255) + (xy 143.408249 95.9255) + (xy 143.408256 95.9255) + (xy 143.437849 95.922725) + (xy 143.494532 95.90289) + (xy 143.562473 95.879117) + (xy 143.562473 95.879116) + (xy 143.562475 95.879116) + (xy 143.668711 95.800711) + (xy 143.747116 95.694475) + (xy 143.752478 95.679153) + (xy 143.790723 95.569854) + (xy 143.790722 95.569854) + (xy 143.790725 95.569849) + (xy 143.7935 95.540256) + (xy 143.7935 94.959744) + (xy 143.790725 94.930151) + (xy 143.779586 94.898318) + (xy 143.747117 94.805526) + (xy 143.706137 94.75) + (xy 143.668711 94.699289) + (xy 143.599695 94.648353) + (xy 143.562473 94.620882) + (xy 143.437854 94.577276) + (xy 143.437855 94.577276) + (xy 143.43785 94.577275) + (xy 143.437849 94.577275) + (xy 143.408256 94.5745) + (xy 142.802744 94.5745) + (xy 142.773151 94.577275) + (xy 142.773149 94.577275) + (xy 142.773145 94.577276) + (xy 142.648526 94.620882) + (xy 142.54229 94.699288) + (xy 142.542288 94.69929) + (xy 142.463882 94.805526) + (xy 142.420276 94.930145) + (xy 142.4175 94.959749) + (xy 142.4175 95.1045) + (xy 142.400187 95.152066) + (xy 142.35635 95.177376) + (xy 142.3435 95.1785) + (xy 142.275642 95.1785) + (xy 142.228076 95.161187) + (xy 142.216934 95.149548) + (xy 142.160284 95.07572) + (xy 142.160279 95.075715) + (xy 142.034841 94.979464) + (xy 141.888761 94.918955) + (xy 141.732 94.898318) + (xy 141.575239 94.918955) + (xy 141.575238 94.918955) + (xy 141.429158 94.979464) + (xy 141.30372 95.075715) + (xy 141.303715 95.07572) + (xy 141.207464 95.201158) + (xy 141.146955 95.347238) + (xy 141.146955 95.347239) + (xy 141.126318 95.504) + (xy 124.162036 95.504) + (xy 124.171711 95.467893) + (xy 124.171712 95.467886) + (xy 124.173277 95.45) + (xy 123.398383 95.45) + (xy 123.436 95.321889) + (xy 123.436 95.178111) + (xy 123.398383 95.05) + (xy 124.173277 95.05) + (xy 124.171712 95.032113) + (xy 124.171711 95.032106) + (xy 124.115105 94.820849) + (xy 124.1151 94.820838) + (xy 124.022665 94.622612) + (xy 123.897212 94.443445) + (xy 123.742551 94.288785) + (xy 123.742552 94.288785) + (xy 123.563388 94.163334) + (xy 123.429854 94.101066) + (xy 123.394061 94.065273) + (xy 123.389649 94.014847) + (xy 123.418683 93.973382) + (xy 123.461128 93.959999) + (xy 123.817481 93.959999) + (xy 123.911148 93.945165) + (xy 123.911149 93.945164) + (xy 124.024046 93.887639) + (xy 124.113639 93.798046) + (xy 124.171164 93.685149) + (xy 124.171164 93.685148) + (xy 124.173247 93.672) + (xy 142.218001 93.672) + (xy 142.218001 93.773881) + (xy 142.220844 93.810022) + (xy 142.265781 93.964695) + (xy 142.347772 94.103334) + (xy 142.461665 94.217227) + (xy 142.600304 94.299218) + (xy 142.754979 94.344155) + (xy 142.754976 94.344155) + (xy 142.791117 94.346999) + (xy 142.9055 94.346999) + (xy 142.9055 93.672) + (xy 143.3055 93.672) + (xy 143.3055 94.346999) + (xy 143.419882 94.346999) + (xy 143.456022 94.344155) + (xy 143.610695 94.299218) + (xy 143.749334 94.217227) + (xy 143.863227 94.103334) + (xy 143.945218 93.964695) + (xy 143.990155 93.810022) + (xy 143.993 93.773882) + (xy 143.993 93.672) + (xy 143.3055 93.672) + (xy 142.9055 93.672) + (xy 142.218001 93.672) + (xy 124.173247 93.672) + (xy 124.186 93.591481) + (xy 124.186 93.272) + (xy 142.218 93.272) + (xy 142.9055 93.272) + (xy 142.9055 92.597) + (xy 143.3055 92.597) + (xy 143.3055 93.272) + (xy 143.992999 93.272) + (xy 143.992999 93.170118) + (xy 143.990155 93.133977) + (xy 143.945218 92.979304) + (xy 143.863227 92.840665) + (xy 143.749334 92.726772) + (xy 143.610695 92.644781) + (xy 143.45602 92.599844) + (xy 143.456023 92.599844) + (xy 143.419882 92.597) + (xy 143.3055 92.597) + (xy 142.9055 92.597) + (xy 142.791118 92.597) + (xy 142.754977 92.599844) + (xy 142.600304 92.644781) + (xy 142.461665 92.726772) + (xy 142.347772 92.840665) + (xy 142.265781 92.979304) + (xy 142.220844 93.133977) + (xy 142.218 93.170117) + (xy 142.218 93.272) + (xy 124.186 93.272) + (xy 124.186 92.91) + (xy 123.398383 92.91) + (xy 123.436 92.781889) + (xy 123.436 92.638111) + (xy 123.398383 92.51) + (xy 124.185999 92.51) + (xy 124.185999 91.828517) + (xy 124.171165 91.734851) + (xy 124.171164 91.73485) + (xy 124.113639 91.621953) + (xy 124.024046 91.53236) + (xy 123.911149 91.474835) + (xy 123.817482 91.46) + (xy 123.135999 91.46) + (xy 123.135999 92.251663) + (xy 123.078315 92.22532) + (xy 122.971763 92.21) + (xy 122.900237 92.21) + (xy 122.793685 92.22532) + (xy 122.736 92.251664) + (xy 122.736 91.46) + (xy 122.054518 91.46) + (xy 121.960851 91.474834) + (xy 121.96085 91.474835) + (xy 121.847953 91.53236) + (xy 121.75836 91.621953) + (xy 121.700835 91.73485) + (xy 121.700835 91.734851) + (xy 121.686 91.828518) + (xy 121.686 92.51) + (xy 110.3075 92.51) + (xy 110.3075 87.83) + (xy 139.707 87.83) + (xy 139.707 88.170641) + (xy 139.7099 88.207493) + (xy 139.755718 88.365199) + (xy 139.839317 88.506556) + (xy 139.955443 88.622682) + (xy 140.0968 88.706281) + (xy 140.254508 88.752099) + (xy 140.254505 88.752099) + (xy 140.291359 88.755) + (xy 140.4945 88.755) + (xy 140.4945 87.83) + (xy 140.8945 87.83) + (xy 140.8945 88.755) + (xy 141.097641 88.755) + (xy 141.134493 88.752099) + (xy 141.292199 88.706281) + (xy 141.433556 88.622682) + (xy 141.549682 88.506556) + (xy 141.633281 88.365199) + (xy 141.679099 88.207493) + (xy 141.682 88.170641) + (xy 141.682 88.159266) + (xy 141.9815 88.159266) + (xy 141.984354 88.189699) + (xy 141.984354 88.189701) + (xy 141.984355 88.189704) + (xy 142.029206 88.317881) + (xy 142.029207 88.317883) + (xy 142.109846 88.427146) + (xy 142.109853 88.427153) + (xy 142.219116 88.507792) + (xy 142.219118 88.507793) + (xy 142.347295 88.552644) + (xy 142.347301 88.552646) + (xy 142.377734 88.5555) + (xy 142.599639 88.5555) + (xy 142.647205 88.572813) + (xy 142.672515 88.61665) + (xy 142.673357 88.635949) + (xy 142.672735 88.643054) + (xy 142.672735 88.643057) + (xy 142.683495 88.683215) + (xy 142.684193 88.686365) + (xy 142.691411 88.727295) + (xy 142.693811 88.731451) + (xy 142.701203 88.749298) + (xy 142.702445 88.753933) + (xy 142.702446 88.753936) + (xy 142.726288 88.787987) + (xy 142.728022 88.790709) + (xy 142.736812 88.805932) + (xy 142.748806 88.826706) + (xy 142.748808 88.826708) + (xy 142.748807 88.826708) + (xy 142.765938 88.841081) + (xy 142.780658 88.853433) + (xy 142.783027 88.855604) + (xy 143.939383 90.011961) + (xy 143.960775 90.057838) + (xy 143.953541 90.096782) + (xy 143.951427 90.101105) + (xy 143.951427 90.101106) + (xy 143.9415 90.169235) + (xy 143.9415 90.535764) + (xy 143.951427 90.603893) + (xy 143.951427 90.603894) + (xy 144.002801 90.708982) + (xy 144.002802 90.708982) + (xy 144.002802 90.708983) + (xy 144.085517 90.791698) + (xy 144.190607 90.843073) + (xy 144.25874 90.853) + (xy 144.7175 90.853) + (xy 144.765066 90.870313) + (xy 144.790376 90.91415) + (xy 144.7915 90.927) + (xy 144.7915 91.048) + (xy 144.774187 91.095566) + (xy 144.73035 91.120876) + (xy 144.7175 91.122) + (xy 144.258735 91.122) + (xy 144.190606 91.131927) + (xy 144.190605 91.131927) + (xy 144.085517 91.183301) + (xy 144.002801 91.266017) + (xy 143.951427 91.371105) + (xy 143.951427 91.371106) + (xy 143.9415 91.439235) + (xy 143.9415 91.805764) + (xy 143.951427 91.873893) + (xy 143.951427 91.873894) + (xy 144.002801 91.978982) + (xy 144.002802 91.978983) + (xy 144.085517 92.061698) + (xy 144.190607 92.113073) + (xy 144.25874 92.123) + (xy 144.531 92.123) + (xy 144.578566 92.140313) + (xy 144.603876 92.18415) + (xy 144.605 92.197) + (xy 144.605 92.744372) + (xy 144.587687 92.791938) + (xy 144.555441 92.814219) + (xy 144.473526 92.842882) + (xy 144.36729 92.921288) + (xy 144.367288 92.92129) + (xy 144.288882 93.027526) + (xy 144.245276 93.152145) + (xy 144.245275 93.152149) + (xy 144.245275 93.152151) + (xy 144.2425 93.181744) + (xy 144.2425 93.762256) + (xy 144.245275 93.791849) + (xy 144.245275 93.791851) + (xy 144.245276 93.791854) + (xy 144.288882 93.916473) + (xy 144.305106 93.938455) + (xy 144.367289 94.022711) + (xy 144.473525 94.101116) + (xy 144.547288 94.126927) + (xy 144.555441 94.12978) + (xy 144.59462 94.161831) + (xy 144.605 94.199627) + (xy 144.605 94.522372) + (xy 144.587687 94.569938) + (xy 144.555441 94.592219) + (xy 144.473526 94.620882) + (xy 144.36729 94.699288) + (xy 144.367288 94.69929) + (xy 144.288882 94.805526) + (xy 144.245276 94.930145) + (xy 144.245275 94.930149) + (xy 144.245275 94.930151) + (xy 144.2425 94.959744) + (xy 144.2425 95.540256) + (xy 144.245275 95.569849) + (xy 144.245275 95.569851) + (xy 144.245276 95.569854) + (xy 144.288882 95.694473) + (xy 144.318556 95.73468) + (xy 144.367289 95.800711) + (xy 144.408674 95.831254) + (xy 144.473526 95.879117) + (xy 144.598145 95.922723) + (xy 144.598151 95.922725) + (xy 144.627744 95.9255) + (xy 144.627751 95.9255) + (xy 145.233249 95.9255) + (xy 145.233256 95.9255) + (xy 145.262849 95.922725) + (xy 145.319532 95.90289) + (xy 145.387473 95.879117) + (xy 145.387473 95.879116) + (xy 145.387475 95.879116) + (xy 145.493711 95.800711) + (xy 145.572116 95.694475) + (xy 145.577478 95.679153) + (xy 145.615723 95.569854) + (xy 145.615722 95.569854) + (xy 145.615725 95.569849) + (xy 145.6185 95.540256) + (xy 145.6185 94.959744) + (xy 145.615725 94.930151) + (xy 145.604586 94.898318) + (xy 145.572117 94.805526) + (xy 145.531137 94.75) + (xy 145.493711 94.699289) + (xy 145.424695 94.648353) + (xy 145.387473 94.620882) + (xy 145.305559 94.592219) + (xy 145.26638 94.560167) + (xy 145.256 94.522372) + (xy 145.256 94.199627) + (xy 145.273313 94.152061) + (xy 145.305559 94.12978) + (xy 145.309848 94.128278) + (xy 145.387475 94.101116) + (xy 145.493711 94.022711) + (xy 145.572116 93.916475) + (xy 145.615725 93.791849) + (xy 145.6185 93.762256) + (xy 145.6185 93.181744) + (xy 145.615725 93.152151) + (xy 145.600382 93.108304) + (xy 145.572117 93.027526) + (xy 145.551576 92.999694) + (xy 145.493711 92.921289) + (xy 145.443134 92.883962) + (xy 145.387473 92.842882) + (xy 145.305559 92.814219) + (xy 145.26638 92.782167) + (xy 145.256 92.744372) + (xy 145.256 92.197) + (xy 145.273313 92.149434) + (xy 145.31715 92.124124) + (xy 145.33 92.123) + (xy 145.975254 92.123) + (xy 145.97526 92.123) + (xy 146.043393 92.113073) + (xy 146.148483 92.061698) + (xy 146.231198 91.978983) + (xy 146.282573 91.873893) + (xy 146.2925 91.80576) + (xy 146.2925 91.43924) + (xy 146.282573 91.371107) + (xy 146.231198 91.266017) + (xy 146.148483 91.183302) + (xy 146.148482 91.183301) + (xy 146.043394 91.131927) + (xy 145.975264 91.122) + (xy 145.97526 91.122) + (xy 145.5165 91.122) + (xy 145.468934 91.104687) + (xy 145.443624 91.06085) + (xy 145.4425 91.048) + (xy 145.4425 90.927) + (xy 145.459813 90.879434) + (xy 145.50365 90.854124) + (xy 145.5165 90.853) + (xy 145.975254 90.853) + (xy 145.97526 90.853) + (xy 146.043393 90.843073) + (xy 146.148483 90.791698) + (xy 146.231198 90.708983) + (xy 146.282573 90.603893) + (xy 146.2925 90.53576) + (xy 146.2925 90.16924) + (xy 146.282573 90.101107) + (xy 146.231198 89.996017) + (xy 146.148483 89.913302) + (xy 146.148482 89.913301) + (xy 146.043394 89.861927) + (xy 145.975264 89.852) + (xy 145.97526 89.852) + (xy 144.730728 89.852) + (xy 144.683162 89.834687) + (xy 144.678402 89.830326) + (xy 144.557402 89.709326) + (xy 144.53601 89.66345) + (xy 144.549111 89.614555) + (xy 144.590575 89.585521) + (xy 144.609728 89.583) + (xy 145.975254 89.583) + (xy 145.97526 89.583) + (xy 146.043393 89.573073) + (xy 146.143257 89.524252) + (xy 146.193592 89.518916) + (xy 146.228082 89.538408) + (xy 148.531152 91.841479) + (xy 148.533324 91.843849) + (xy 148.550298 91.864078) + (xy 148.558534 91.873894) + (xy 148.560045 91.875694) + (xy 148.59605 91.896481) + (xy 148.59875 91.8982) + (xy 148.632816 91.922053) + (xy 148.637449 91.923294) + (xy 148.65529 91.930683) + (xy 148.659455 91.933088) + (xy 148.668217 91.934633) + (xy 148.700399 91.940308) + (xy 148.703549 91.941006) + (xy 148.743693 91.951763) + (xy 148.743693 91.951762) + (xy 148.743694 91.951763) + (xy 148.751506 91.951079) + (xy 148.785098 91.94814) + (xy 148.788317 91.948) + (xy 148.892589 91.948) + (xy 148.940155 91.965313) + (xy 148.95275 91.978931) + (xy 148.952802 91.978982) + (xy 148.952802 91.978983) + (xy 149.035517 92.061698) + (xy 149.140607 92.113073) + (xy 149.20874 92.123) + (xy 149.208746 92.123) + (xy 150.925254 92.123) + (xy 150.92526 92.123) + (xy 150.993393 92.113073) + (xy 151.098483 92.061698) + (xy 151.181198 91.978983) + (xy 151.181198 91.978982) + (xy 151.185534 91.974647) + (xy 151.186344 91.975457) + (xy 151.222919 91.950348) + (xy 151.241411 91.948) + (xy 151.519444 91.948) + (xy 151.56701 91.965313) + (xy 151.589291 91.997559) + (xy 151.602383 92.034973) + (xy 151.602384 92.034975) + (xy 151.680789 92.141211) + (xy 151.726842 92.175199) + (xy 151.787026 92.219617) + (xy 151.911645 92.263223) + (xy 151.911651 92.263225) + (xy 151.941244 92.266) + (xy 151.941251 92.266) + (xy 152.546749 92.266) + (xy 152.546756 92.266) + (xy 152.576349 92.263225) + (xy 152.650878 92.237146) + (xy 152.700973 92.219617) + (xy 152.700973 92.219616) + (xy 152.700975 92.219616) + (xy 152.807211 92.141211) + (xy 152.885616 92.034975) + (xy 152.891734 92.017493) + (xy 152.924695 91.923294) + (xy 152.929225 91.910349) + (xy 152.932 91.880756) + (xy 152.932 91.300244) + (xy 152.929225 91.270651) + (xy 152.929223 91.270645) + (xy 152.885617 91.146026) + (xy 152.848376 91.095566) + (xy 152.807211 91.039789) + (xy 152.756634 91.002462) + (xy 152.700973 90.961382) + (xy 152.576354 90.917776) + (xy 152.576355 90.917776) + (xy 152.57635 90.917775) + (xy 152.576349 90.917775) + (xy 152.546756 90.915) + (xy 151.941244 90.915) + (xy 151.911651 90.917775) + (xy 151.911649 90.917775) + (xy 151.911645 90.917776) + (xy 151.787026 90.961382) + (xy 151.68079 91.039788) + (xy 151.680788 91.03979) + (xy 151.602382 91.146027) + (xy 151.566897 91.24744) + (xy 151.534846 91.28662) + (xy 151.49705 91.297) + (xy 151.241411 91.297) + (xy 151.193845 91.279687) + (xy 151.181249 91.266068) + (xy 151.098482 91.183301) + (xy 150.993394 91.131927) + (xy 150.925264 91.122) + (xy 150.92526 91.122) + (xy 149.20874 91.122) + (xy 149.208735 91.122) + (xy 149.140606 91.131927) + (xy 149.140605 91.131927) + (xy 149.035517 91.183301) + (xy 148.966898 91.25192) + (xy 148.921021 91.273312) + (xy 148.872127 91.260211) + (xy 148.862252 91.251925) + (xy 146.473856 88.86353) + (xy 146.471676 88.861151) + (xy 146.467021 88.855604) + (xy 146.444955 88.829306) + (xy 146.427588 88.819278) + (xy 146.408958 88.808522) + (xy 146.406236 88.806788) + (xy 146.372185 88.782946) + (xy 146.372182 88.782945) + (xy 146.367547 88.781703) + (xy 146.3497 88.774311) + (xy 146.345544 88.771911) + (xy 146.304614 88.764693) + (xy 146.301464 88.763995) + (xy 146.273752 88.75657) + (xy 146.235701 88.730185) + (xy 146.235534 88.730353) + (xy 146.234606 88.729425) + (xy 146.232676 88.728087) + (xy 146.231198 88.726017) + (xy 146.148482 88.643301) + (xy 146.043394 88.591927) + (xy 145.975264 88.582) + (xy 145.97526 88.582) + (xy 145.5165 88.582) + (xy 145.468934 88.564687) + (xy 145.443624 88.52085) + (xy 145.4425 88.508) + (xy 145.4425 88.387) + (xy 145.459813 88.339434) + (xy 145.50365 88.314124) + (xy 145.5165 88.313) + (xy 145.975254 88.313) + (xy 145.97526 88.313) + (xy 146.043393 88.303073) + (xy 146.148483 88.251698) + (xy 146.231198 88.168983) + (xy 146.282573 88.063893) + (xy 146.2925 87.99576) + (xy 146.2925 87.980226) + (xy 146.309813 87.932661) + (xy 146.35365 87.907351) + (xy 146.4035 87.916141) + (xy 146.418826 87.927901) + (xy 147.792398 89.301473) + (xy 147.79457 89.303843) + (xy 147.821296 89.335694) + (xy 147.857297 89.356479) + (xy 147.860021 89.358215) + (xy 147.894065 89.382053) + (xy 147.894066 89.382053) + (xy 147.894067 89.382054) + (xy 147.898696 89.383294) + (xy 147.916551 89.390689) + (xy 147.920706 89.393088) + (xy 147.961646 89.400306) + (xy 147.964788 89.401003) + (xy 148.004945 89.411764) + (xy 148.046362 89.40814) + (xy 148.049581 89.408) + (xy 148.892589 89.408) + (xy 148.940155 89.425313) + (xy 148.95275 89.438931) + (xy 148.952802 89.438982) + (xy 148.952802 89.438983) + (xy 149.035517 89.521698) + (xy 149.140607 89.573073) + (xy 149.20874 89.583) + (xy 149.208746 89.583) + (xy 150.925254 89.583) + (xy 150.92526 89.583) + (xy 150.993393 89.573073) + (xy 151.098483 89.521698) + (xy 151.142601 89.477579) + (xy 151.188477 89.456187) + (xy 151.237371 89.469287) + (xy 151.247253 89.477579) + (xy 151.570647 89.800973) + (xy 151.572819 89.803343) + (xy 151.595257 89.830083) + (xy 151.599119 89.834687) + (xy 151.599545 89.835194) + (xy 151.628654 89.852) + (xy 151.635546 89.855979) + (xy 151.63827 89.857715) + (xy 151.672316 89.881554) + (xy 151.676446 89.88266) + (xy 151.717912 89.911689) + (xy 151.727146 89.929699) + (xy 151.741444 89.970559) + (xy 151.740814 90.021174) + (xy 151.707796 90.059542) + (xy 151.671597 90.069) + (xy 151.26307 90.069) + (xy 151.215504 90.051687) + (xy 151.196589 90.0275) + (xy 151.181198 89.996017) + (xy 151.098482 89.913301) + (xy 150.993394 89.861927) + (xy 150.925264 89.852) + (xy 150.92526 89.852) + (xy 149.20874 89.852) + (xy 149.208735 89.852) + (xy 149.140606 89.861927) + (xy 149.140605 89.861927) + (xy 149.035517 89.913301) + (xy 148.952801 89.996017) + (xy 148.901427 90.101105) + (xy 148.901427 90.101106) + (xy 148.8915 90.169235) + (xy 148.8915 90.535764) + (xy 148.901427 90.603893) + (xy 148.901427 90.603894) + (xy 148.952801 90.708982) + (xy 148.952802 90.708982) + (xy 148.952802 90.708983) + (xy 149.035517 90.791698) + (xy 149.140607 90.843073) + (xy 149.20874 90.853) + (xy 149.208746 90.853) + (xy 150.925254 90.853) + (xy 150.92526 90.853) + (xy 150.993393 90.843073) + (xy 151.098483 90.791698) + (xy 151.148507 90.741674) + (xy 151.194383 90.720282) + (xy 151.200833 90.72) + (xy 152.707522 90.72) + (xy 152.755088 90.737313) + (xy 152.759848 90.741674) + (xy 153.359326 91.341152) + (xy 153.380718 91.387028) + (xy 153.381 91.393478) + (xy 153.381 91.787521) + (xy 153.363687 91.835087) + (xy 153.359326 91.839847) + (xy 152.582348 92.616826) + (xy 152.536472 92.638218) + (xy 152.530022 92.6385) + (xy 146.827814 92.6385) + (xy 146.824593 92.638359) + (xy 146.815597 92.637572) + (xy 146.783194 92.634736) + (xy 146.783192 92.634736) + (xy 146.743045 92.645493) + (xy 146.739895 92.646191) + (xy 146.698959 92.65341) + (xy 146.698953 92.653412) + (xy 146.694788 92.655817) + (xy 146.676956 92.663203) + (xy 146.672315 92.664447) + (xy 146.672314 92.664447) + (xy 146.63827 92.688285) + (xy 146.635547 92.69002) + (xy 146.599546 92.710805) + (xy 146.572832 92.742639) + (xy 146.570651 92.745019) + (xy 146.085031 93.230641) + (xy 146.08265 93.232822) + (xy 146.050808 93.259542) + (xy 146.050805 93.259545) + (xy 146.030019 93.295546) + (xy 146.028285 93.298268) + (xy 146.004447 93.332312) + (xy 146.004445 93.332317) + (xy 146.003201 93.336959) + (xy 145.995815 93.35479) + (xy 145.993412 93.358951) + (xy 145.993412 93.358952) + (xy 145.986193 93.399884) + (xy 145.985495 93.403033) + (xy 145.974735 93.443192) + (xy 145.974735 93.443194) + (xy 145.978359 93.484598) + (xy 145.9785 93.487825) + (xy 145.9785 104.637173) + (xy 145.978359 104.6404) + (xy 145.974735 104.681805) + (xy 145.974735 104.681806) + (xy 145.985495 104.721964) + (xy 145.986193 104.725114) + (xy 145.993411 104.766044) + (xy 145.993412 104.766045) + (xy 145.995813 104.770204) + (xy 146.003202 104.788044) + (xy 146.006121 104.798935) + (xy 146.005411 104.799125) + (xy 146.0105 104.822071) + (xy 146.0105 106.279746) + (xy 146.022133 106.338232) + (xy 146.051608 106.382343) + (xy 146.066448 106.404552) + (xy 146.11056 106.434027) + (xy 146.132767 106.448866) + (xy 146.132768 106.448866) + (xy 146.132769 106.448867) + (xy 146.191252 106.4605) + (xy 146.191254 106.4605) + (xy 147.930746 106.4605) + (xy 147.930748 106.4605) + (xy 147.989231 106.448867) + (xy 148.055552 106.404552) + (xy 148.099867 106.338231) + (xy 148.1115 106.279748) + (xy 148.1115 105.41) + (xy 148.545417 105.41) + (xy 148.5657 105.615934) + (xy 148.625768 105.813954) + (xy 148.723315 105.99645) + (xy 148.85459 106.15641) + (xy 149.01455 106.287685) + (xy 149.197046 106.385232) + (xy 149.395066 106.4453) + (xy 149.601 106.465583) + (xy 149.806934 106.4453) + (xy 150.004954 106.385232) + (xy 150.18745 106.287685) + (xy 150.34741 106.15641) + (xy 150.478685 105.99645) + (xy 150.576232 105.813954) + (xy 150.6363 105.615934) + (xy 150.656583 105.41) + (xy 150.6363 105.204066) + (xy 150.576232 105.006046) + (xy 150.478685 104.82355) + (xy 150.34741 104.66359) + (xy 150.18745 104.532315) + (xy 150.004954 104.434768) + (xy 149.893158 104.400855) + (xy 149.806935 104.3747) + (xy 149.601 104.354417) + (xy 149.395064 104.3747) + (xy 149.197043 104.434769) + (xy 149.014549 104.532315) + (xy 148.85459 104.663589) + (xy 148.854589 104.66359) + (xy 148.723315 104.823549) + (xy 148.625769 105.006043) + (xy 148.5657 105.204064) + (xy 148.565699 105.204066) + (xy 148.5657 105.204066) + (xy 148.545417 105.41) + (xy 148.1115 105.41) + (xy 148.1115 104.540252) + (xy 148.099867 104.481769) + (xy 148.055552 104.415448) + (xy 148.033343 104.400608) + (xy 147.989232 104.371133) + (xy 147.989233 104.371133) + (xy 147.959989 104.365316) + (xy 147.930748 104.3595) + (xy 147.930746 104.3595) + (xy 146.7035 104.3595) + (xy 146.655934 104.342187) + (xy 146.630624 104.29835) + (xy 146.6295 104.2855) + (xy 146.6295 95.301801) + (xy 170.14904 95.301801) + (xy 170.231952 95.345316) + (xy 170.397005 95.385999) + (xy 170.397009 95.386) + (xy 170.566991 95.386) + (xy 170.566994 95.385999) + (xy 170.732052 95.345315) + (xy 170.814958 95.301802) + (xy 170.814958 95.301801) + (xy 171.64904 95.301801) + (xy 171.731952 95.345316) + (xy 171.897005 95.385999) + (xy 171.897009 95.386) + (xy 172.066991 95.386) + (xy 172.066994 95.385999) + (xy 172.232052 95.345315) + (xy 172.314958 95.301802) + (xy 172.314958 95.301801) + (xy 173.14904 95.301801) + (xy 173.231952 95.345316) + (xy 173.397005 95.385999) + (xy 173.397009 95.386) + (xy 173.566991 95.386) + (xy 173.566994 95.385999) + (xy 173.732052 95.345315) + (xy 173.814958 95.301802) + (xy 173.814958 95.301801) + (xy 174.64904 95.301801) + (xy 174.731952 95.345316) + (xy 174.897005 95.385999) + (xy 174.897009 95.386) + (xy 175.066991 95.386) + (xy 175.066994 95.385999) + (xy 175.232052 95.345315) + (xy 175.314958 95.301802) + (xy 175.314958 95.301801) + (xy 176.14904 95.301801) + (xy 176.231952 95.345316) + (xy 176.397005 95.385999) + (xy 176.397009 95.386) + (xy 176.566991 95.386) + (xy 176.566994 95.385999) + (xy 176.732052 95.345315) + (xy 176.814958 95.301802) + (xy 176.814958 95.301801) + (xy 176.482 94.968842) + (xy 176.14904 95.301801) + (xy 175.314958 95.301801) + (xy 174.982 94.968842) + (xy 174.64904 95.301801) + (xy 173.814958 95.301801) + (xy 173.482 94.968842) + (xy 173.14904 95.301801) + (xy 172.314958 95.301801) + (xy 171.982 94.968842) + (xy 171.64904 95.301801) + (xy 170.814958 95.301801) + (xy 170.482 94.968842) + (xy 170.14904 95.301801) + (xy 146.6295 95.301801) + (xy 146.6295 94.686003) + (xy 169.776859 94.686003) + (xy 169.797347 94.854746) + (xy 169.797349 94.85475) + (xy 169.857628 95.013694) + (xy 169.863277 95.021878) + (xy 170.199156 94.686) + (xy 170.227102 94.686) + (xy 170.246505 94.783545) + (xy 170.30176 94.86624) + (xy 170.384455 94.921495) + (xy 170.457376 94.936) + (xy 170.506624 94.936) + (xy 170.579545 94.921495) + (xy 170.66224 94.86624) + (xy 170.717495 94.783545) + (xy 170.736898 94.686) + (xy 170.736898 94.685998) + (xy 170.764842 94.685998) + (xy 171.10072 95.021878) + (xy 171.10637 95.013694) + (xy 171.106371 95.013693) + (xy 171.162808 94.864881) + (xy 171.195862 94.826545) + (xy 171.245826 94.818425) + (xy 171.28932 94.844321) + (xy 171.30119 94.864881) + (xy 171.357628 95.013694) + (xy 171.363277 95.021878) + (xy 171.699157 94.686) + (xy 171.727102 94.686) + (xy 171.746505 94.783545) + (xy 171.80176 94.86624) + (xy 171.884455 94.921495) + (xy 171.957376 94.936) + (xy 172.006624 94.936) + (xy 172.079545 94.921495) + (xy 172.16224 94.86624) + (xy 172.217495 94.783545) + (xy 172.236898 94.686) + (xy 172.236898 94.685998) + (xy 172.264842 94.685998) + (xy 172.60072 95.021878) + (xy 172.60637 95.013694) + (xy 172.606371 95.013693) + (xy 172.662808 94.864881) + (xy 172.695862 94.826545) + (xy 172.745826 94.818425) + (xy 172.78932 94.844321) + (xy 172.80119 94.864881) + (xy 172.857628 95.013694) + (xy 172.863277 95.021878) + (xy 173.199157 94.686) + (xy 173.227102 94.686) + (xy 173.246505 94.783545) + (xy 173.30176 94.86624) + (xy 173.384455 94.921495) + (xy 173.457376 94.936) + (xy 173.506624 94.936) + (xy 173.579545 94.921495) + (xy 173.66224 94.86624) + (xy 173.717495 94.783545) + (xy 173.736898 94.686) + (xy 173.764842 94.686) + (xy 174.10072 95.021878) + (xy 174.10637 95.013694) + (xy 174.106371 95.013693) + (xy 174.162808 94.864881) + (xy 174.195862 94.826545) + (xy 174.245826 94.818425) + (xy 174.28932 94.844321) + (xy 174.30119 94.864881) + (xy 174.357628 95.013694) + (xy 174.363277 95.021878) + (xy 174.699157 94.686) + (xy 174.727102 94.686) + (xy 174.746505 94.783545) + (xy 174.80176 94.86624) + (xy 174.884455 94.921495) + (xy 174.957376 94.936) + (xy 175.006624 94.936) + (xy 175.079545 94.921495) + (xy 175.16224 94.86624) + (xy 175.217495 94.783545) + (xy 175.236898 94.686) + (xy 175.264842 94.686) + (xy 175.60072 95.021878) + (xy 175.60637 95.013694) + (xy 175.606371 95.013693) + (xy 175.662808 94.864881) + (xy 175.695862 94.826545) + (xy 175.745826 94.818425) + (xy 175.78932 94.844321) + (xy 175.80119 94.864881) + (xy 175.857628 95.013694) + (xy 175.863277 95.021878) + (xy 176.199157 94.686) + (xy 176.227102 94.686) + (xy 176.246505 94.783545) + (xy 176.30176 94.86624) + (xy 176.384455 94.921495) + (xy 176.457376 94.936) + (xy 176.506624 94.936) + (xy 176.579545 94.921495) + (xy 176.66224 94.86624) + (xy 176.717495 94.783545) + (xy 176.736898 94.686) + (xy 176.764842 94.686) + (xy 177.10072 95.021878) + (xy 177.10637 95.013694) + (xy 177.106371 95.013693) + (xy 177.16665 94.85475) + (xy 177.166652 94.854746) + (xy 177.187141 94.686003) + (xy 177.187141 94.685996) + (xy 177.166652 94.517253) + (xy 177.16665 94.517249) + (xy 177.106372 94.358307) + (xy 177.106371 94.358304) + (xy 177.100721 94.35012) + (xy 176.764842 94.686) + (xy 176.736898 94.686) + (xy 176.717495 94.588455) + (xy 176.66224 94.50576) + (xy 176.579545 94.450505) + (xy 176.506624 94.436) + (xy 176.457376 94.436) + (xy 176.384455 94.450505) + (xy 176.30176 94.50576) + (xy 176.246505 94.588455) + (xy 176.227102 94.686) + (xy 176.199157 94.686) + (xy 176.163837 94.65068) + (xy 176.161174 94.65068) + (xy 176.120285 94.669746) + (xy 176.071391 94.656643) + (xy 176.061511 94.648353) + (xy 175.732 94.318842) + (xy 175.402488 94.648353) + (xy 175.356611 94.669745) + (xy 175.307717 94.656644) + (xy 175.300609 94.65068) + (xy 175.300162 94.65068) + (xy 175.264842 94.686) + (xy 175.236898 94.686) + (xy 175.217495 94.588455) + (xy 175.16224 94.50576) + (xy 175.079545 94.450505) + (xy 175.006624 94.436) + (xy 174.957376 94.436) + (xy 174.884455 94.450505) + (xy 174.80176 94.50576) + (xy 174.746505 94.588455) + (xy 174.727102 94.686) + (xy 174.699157 94.686) + (xy 174.663837 94.65068) + (xy 174.661174 94.65068) + (xy 174.620285 94.669746) + (xy 174.571391 94.656643) + (xy 174.561511 94.648353) + (xy 174.232 94.318842) + (xy 173.902488 94.648354) + (xy 173.856612 94.669746) + (xy 173.807717 94.656645) + (xy 173.800608 94.65068) + (xy 173.800162 94.65068) + (xy 173.764842 94.686) + (xy 173.736898 94.686) + (xy 173.717495 94.588455) + (xy 173.66224 94.50576) + (xy 173.579545 94.450505) + (xy 173.506624 94.436) + (xy 173.457376 94.436) + (xy 173.384455 94.450505) + (xy 173.30176 94.50576) + (xy 173.246505 94.588455) + (xy 173.227102 94.686) + (xy 173.199157 94.686) + (xy 173.163837 94.65068) + (xy 173.161174 94.65068) + (xy 173.120285 94.669746) + (xy 173.071391 94.656643) + (xy 173.061511 94.648353) + (xy 172.732 94.318842) + (xy 172.402488 94.648353) + (xy 172.356611 94.669745) + (xy 172.307717 94.656644) + (xy 172.300609 94.65068) + (xy 172.300162 94.65068) + (xy 172.264842 94.685998) + (xy 172.236898 94.685998) + (xy 172.217495 94.588455) + (xy 172.16224 94.50576) + (xy 172.079545 94.450505) + (xy 172.006624 94.436) + (xy 171.957376 94.436) + (xy 171.884455 94.450505) + (xy 171.80176 94.50576) + (xy 171.746505 94.588455) + (xy 171.727102 94.686) + (xy 171.699157 94.686) + (xy 171.663837 94.65068) + (xy 171.661174 94.65068) + (xy 171.620285 94.669746) + (xy 171.571391 94.656643) + (xy 171.561511 94.648353) + (xy 171.232 94.318842) + (xy 170.902488 94.648354) + (xy 170.856612 94.669746) + (xy 170.807717 94.656645) + (xy 170.800608 94.65068) + (xy 170.800162 94.65068) + (xy 170.764842 94.685998) + (xy 170.736898 94.685998) + (xy 170.717495 94.588455) + (xy 170.66224 94.50576) + (xy 170.579545 94.450505) + (xy 170.506624 94.436) + (xy 170.457376 94.436) + (xy 170.384455 94.450505) + (xy 170.30176 94.50576) + (xy 170.246505 94.588455) + (xy 170.227102 94.686) + (xy 170.199156 94.686) + (xy 170.199157 94.685999) + (xy 169.863278 94.35012) + (xy 169.857628 94.358307) + (xy 169.797349 94.517249) + (xy 169.797347 94.517253) + (xy 169.776859 94.685996) + (xy 169.776859 94.686003) + (xy 146.6295 94.686003) + (xy 146.6295 94.069901) + (xy 170.148744 94.069901) + (xy 170.481999 94.403156) + (xy 170.811511 94.073645) + (xy 170.838271 94.061166) + (xy 170.837021 94.060831) + (xy 170.889402 94.060831) + (xy 170.906282 94.065354) + (xy 170.91339 94.071318) + (xy 170.913837 94.071319) + (xy 170.949156 94.036) + (xy 170.977102 94.036) + (xy 170.996505 94.133545) + (xy 171.05176 94.21624) + (xy 171.134455 94.271495) + (xy 171.207376 94.286) + (xy 171.256624 94.286) + (xy 171.329545 94.271495) + (xy 171.41224 94.21624) + (xy 171.467495 94.133545) + (xy 171.486898 94.036) + (xy 171.486898 94.035998) + (xy 171.514841 94.035998) + (xy 171.550162 94.071319) + (xy 171.552821 94.071319) + (xy 171.574593 94.061167) + (xy 171.573339 94.060831) + (xy 171.625728 94.060831) + (xy 171.642607 94.065355) + (xy 171.652487 94.073645) + (xy 171.981999 94.403156) + (xy 172.311511 94.073645) + (xy 172.338271 94.061166) + (xy 172.337021 94.060831) + (xy 172.389402 94.060831) + (xy 172.406282 94.065354) + (xy 172.413391 94.071319) + (xy 172.413837 94.071319) + (xy 172.449157 94.036) + (xy 172.477102 94.036) + (xy 172.496505 94.133545) + (xy 172.55176 94.21624) + (xy 172.634455 94.271495) + (xy 172.707376 94.286) + (xy 172.756624 94.286) + (xy 172.829545 94.271495) + (xy 172.91224 94.21624) + (xy 172.967495 94.133545) + (xy 172.986898 94.036) + (xy 172.986898 94.035999) + (xy 173.014842 94.035999) + (xy 173.050162 94.071319) + (xy 173.052824 94.071319) + (xy 173.074595 94.061166) + (xy 173.073345 94.060831) + (xy 173.125727 94.060831) + (xy 173.142604 94.065353) + (xy 173.152487 94.073645) + (xy 173.481999 94.403157) + (xy 173.811511 94.073645) + (xy 173.838271 94.061166) + (xy 173.837021 94.060831) + (xy 173.889402 94.060831) + (xy 173.906282 94.065354) + (xy 173.91339 94.071318) + (xy 173.913836 94.071319) + (xy 173.949157 94.036) + (xy 173.977102 94.036) + (xy 173.996505 94.133545) + (xy 174.05176 94.21624) + (xy 174.134455 94.271495) + (xy 174.207376 94.286) + (xy 174.256624 94.286) + (xy 174.329545 94.271495) + (xy 174.41224 94.21624) + (xy 174.467495 94.133545) + (xy 174.486898 94.036) + (xy 174.486898 94.035998) + (xy 174.514841 94.035998) + (xy 174.550162 94.071319) + (xy 174.55282 94.071319) + (xy 174.574593 94.061166) + (xy 174.573339 94.06083) + (xy 174.625727 94.06083) + (xy 174.642606 94.065353) + (xy 174.652487 94.073644) + (xy 174.981999 94.403156) + (xy 175.311511 94.073644) + (xy 175.338269 94.061166) + (xy 175.337015 94.06083) + (xy 175.389403 94.06083) + (xy 175.406281 94.065353) + (xy 175.413391 94.071319) + (xy 175.413836 94.071318) + (xy 175.449157 94.036) + (xy 175.477102 94.036) + (xy 175.496505 94.133545) + (xy 175.55176 94.21624) + (xy 175.634455 94.271495) + (xy 175.707376 94.286) + (xy 175.756624 94.286) + (xy 175.829545 94.271495) + (xy 175.91224 94.21624) + (xy 175.967495 94.133545) + (xy 175.986898 94.036) + (xy 175.986898 94.035998) + (xy 176.014841 94.035998) + (xy 176.050162 94.071319) + (xy 176.052821 94.071319) + (xy 176.074593 94.061167) + (xy 176.073339 94.060831) + (xy 176.125728 94.060831) + (xy 176.142607 94.065355) + (xy 176.152487 94.073645) + (xy 176.481999 94.403157) + (xy 176.815253 94.069903) + (xy 176.815253 94.052095) + (xy 176.482 93.718842) + (xy 176.152488 94.048354) + (xy 176.125728 94.060831) + (xy 176.073339 94.060831) + (xy 176.057717 94.056645) + (xy 176.047836 94.048354) + (xy 176.025162 94.02568) + (xy 176.02516 94.025679) + (xy 176.014841 94.035998) + (xy 175.986898 94.035998) + (xy 175.967495 93.938455) + (xy 175.91224 93.85576) + (xy 175.829545 93.800505) + (xy 175.756624 93.786) + (xy 175.707376 93.786) + (xy 175.634455 93.800505) + (xy 175.55176 93.85576) + (xy 175.496505 93.938455) + (xy 175.477102 94.036) + (xy 175.449157 94.036) + (xy 175.438837 94.02568) + (xy 175.438836 94.02568) + (xy 175.416162 94.048353) + (xy 175.389403 94.06083) + (xy 175.337015 94.06083) + (xy 175.321392 94.056644) + (xy 175.311511 94.048353) + (xy 174.982 93.718842) + (xy 174.652487 94.048353) + (xy 174.625727 94.06083) + (xy 174.573339 94.06083) + (xy 174.557716 94.056644) + (xy 174.547835 94.048353) + (xy 174.525162 94.02568) + (xy 174.52516 94.025679) + (xy 174.514841 94.035998) + (xy 174.486898 94.035998) + (xy 174.467495 93.938455) + (xy 174.41224 93.85576) + (xy 174.329545 93.800505) + (xy 174.256624 93.786) + (xy 174.207376 93.786) + (xy 174.134455 93.800505) + (xy 174.05176 93.85576) + (xy 173.996505 93.938455) + (xy 173.977102 94.036) + (xy 173.949157 94.036) + (xy 173.938837 94.02568) + (xy 173.938836 94.02568) + (xy 173.916162 94.048353) + (xy 173.889402 94.060831) + (xy 173.837021 94.060831) + (xy 173.821392 94.056644) + (xy 173.811511 94.048353) + (xy 173.482 93.718842) + (xy 173.152488 94.048353) + (xy 173.125727 94.060831) + (xy 173.073345 94.060831) + (xy 173.057717 94.056644) + (xy 173.047837 94.048354) + (xy 173.025161 94.02568) + (xy 173.02516 94.025679) + (xy 173.014842 94.035998) + (xy 173.014842 94.035999) + (xy 172.986898 94.035999) + (xy 172.967495 93.938455) + (xy 172.91224 93.85576) + (xy 172.829545 93.800505) + (xy 172.756624 93.786) + (xy 172.707376 93.786) + (xy 172.634455 93.800505) + (xy 172.55176 93.85576) + (xy 172.496505 93.938455) + (xy 172.477102 94.036) + (xy 172.449157 94.036) + (xy 172.438837 94.02568) + (xy 172.438836 94.02568) + (xy 172.416163 94.048353) + (xy 172.389402 94.060831) + (xy 172.337021 94.060831) + (xy 172.321392 94.056644) + (xy 172.311511 94.048353) + (xy 171.982 93.718842) + (xy 171.652488 94.048354) + (xy 171.625728 94.060831) + (xy 171.573339 94.060831) + (xy 171.557717 94.056645) + (xy 171.547836 94.048354) + (xy 171.525162 94.02568) + (xy 171.52516 94.025679) + (xy 171.514841 94.035998) + (xy 171.486898 94.035998) + (xy 171.467495 93.938455) + (xy 171.41224 93.85576) + (xy 171.329545 93.800505) + (xy 171.256624 93.786) + (xy 171.207376 93.786) + (xy 171.134455 93.800505) + (xy 171.05176 93.85576) + (xy 170.996505 93.938455) + (xy 170.977102 94.036) + (xy 170.949156 94.036) + (xy 170.949157 94.035999) + (xy 170.949156 94.035998) + (xy 170.938838 94.025679) + (xy 170.938835 94.02568) + (xy 170.916162 94.048353) + (xy 170.889402 94.060831) + (xy 170.837021 94.060831) + (xy 170.821392 94.056644) + (xy 170.811511 94.048353) + (xy 170.482 93.718842) + (xy 170.148744 94.052097) + (xy 170.148744 94.069901) + (xy 146.6295 94.069901) + (xy 146.6295 93.637478) + (xy 146.646813 93.589912) + (xy 146.651174 93.585152) + (xy 146.800324 93.436003) + (xy 169.776859 93.436003) + (xy 169.797347 93.604746) + (xy 169.797349 93.60475) + (xy 169.857628 93.763694) + (xy 169.863277 93.771878) + (xy 170.199157 93.436) + (xy 170.227102 93.436) + (xy 170.246505 93.533545) + (xy 170.30176 93.61624) + (xy 170.384455 93.671495) + (xy 170.457376 93.686) + (xy 170.506624 93.686) + (xy 170.579545 93.671495) + (xy 170.66224 93.61624) + (xy 170.717495 93.533545) + (xy 170.736898 93.436) + (xy 170.764841 93.436) + (xy 170.77516 93.446319) + (xy 170.797835 93.423645) + (xy 170.843711 93.402253) + (xy 170.892606 93.415354) + (xy 170.902487 93.423645) + (xy 171.231999 93.753156) + (xy 171.561511 93.423645) + (xy 171.607387 93.402253) + (xy 171.656282 93.415354) + (xy 171.666163 93.423645) + (xy 171.688836 93.446319) + (xy 171.688838 93.446319) + (xy 171.699156 93.436) + (xy 171.727102 93.436) + (xy 171.746505 93.533545) + (xy 171.80176 93.61624) + (xy 171.884455 93.671495) + (xy 171.957376 93.686) + (xy 172.006624 93.686) + (xy 172.079545 93.671495) + (xy 172.16224 93.61624) + (xy 172.217495 93.533545) + (xy 172.236898 93.436) + (xy 172.264842 93.436) + (xy 172.27516 93.446319) + (xy 172.297835 93.423645) + (xy 172.343711 93.402253) + (xy 172.392606 93.415354) + (xy 172.402487 93.423645) + (xy 172.731999 93.753157) + (xy 173.061511 93.423645) + (xy 173.107387 93.402253) + (xy 173.156282 93.415354) + (xy 173.166163 93.423645) + (xy 173.188836 93.446319) + (xy 173.188838 93.446319) + (xy 173.199156 93.436) + (xy 173.227102 93.436) + (xy 173.246505 93.533545) + (xy 173.30176 93.61624) + (xy 173.384455 93.671495) + (xy 173.457376 93.686) + (xy 173.506624 93.686) + (xy 173.579545 93.671495) + (xy 173.66224 93.61624) + (xy 173.717495 93.533545) + (xy 173.736898 93.436) + (xy 173.764841 93.436) + (xy 173.77516 93.446319) + (xy 173.797835 93.423645) + (xy 173.843711 93.402253) + (xy 173.892606 93.415354) + (xy 173.902487 93.423645) + (xy 174.231999 93.753156) + (xy 174.561511 93.423645) + (xy 174.607387 93.402253) + (xy 174.656282 93.415354) + (xy 174.666163 93.423645) + (xy 174.688836 93.446319) + (xy 174.688838 93.446319) + (xy 174.699156 93.436) + (xy 174.727102 93.436) + (xy 174.746505 93.533545) + (xy 174.80176 93.61624) + (xy 174.884455 93.671495) + (xy 174.957376 93.686) + (xy 175.006624 93.686) + (xy 175.079545 93.671495) + (xy 175.16224 93.61624) + (xy 175.217495 93.533545) + (xy 175.236898 93.436) + (xy 175.264842 93.436) + (xy 175.27516 93.446319) + (xy 175.297835 93.423645) + (xy 175.343711 93.402253) + (xy 175.392606 93.415354) + (xy 175.402487 93.423645) + (xy 175.731999 93.753157) + (xy 176.061511 93.423645) + (xy 176.107387 93.402253) + (xy 176.156282 93.415354) + (xy 176.166163 93.423645) + (xy 176.188836 93.446319) + (xy 176.188838 93.446319) + (xy 176.199156 93.436) + (xy 176.227102 93.436) + (xy 176.246505 93.533545) + (xy 176.30176 93.61624) + (xy 176.384455 93.671495) + (xy 176.457376 93.686) + (xy 176.506624 93.686) + (xy 176.579545 93.671495) + (xy 176.66224 93.61624) + (xy 176.717495 93.533545) + (xy 176.736898 93.436) + (xy 176.764842 93.436) + (xy 177.10072 93.771878) + (xy 177.10637 93.763694) + (xy 177.106371 93.763693) + (xy 177.16665 93.60475) + (xy 177.166652 93.604746) + (xy 177.187141 93.436003) + (xy 177.187141 93.435996) + (xy 177.166652 93.267253) + (xy 177.16665 93.267249) + (xy 177.106372 93.108307) + (xy 177.106371 93.108304) + (xy 177.100721 93.10012) + (xy 176.764842 93.436) + (xy 176.736898 93.436) + (xy 176.717495 93.338455) + (xy 176.66224 93.25576) + (xy 176.579545 93.200505) + (xy 176.506624 93.186) + (xy 176.457376 93.186) + (xy 176.384455 93.200505) + (xy 176.30176 93.25576) + (xy 176.246505 93.338455) + (xy 176.227102 93.436) + (xy 176.199156 93.436) + (xy 176.199157 93.435999) + (xy 175.863278 93.10012) + (xy 175.857628 93.108307) + (xy 175.801191 93.257118) + (xy 175.768136 93.295454) + (xy 175.718172 93.303574) + (xy 175.674679 93.277678) + (xy 175.662809 93.257118) + (xy 175.606372 93.108307) + (xy 175.606371 93.108304) + (xy 175.600721 93.10012) + (xy 175.264842 93.436) + (xy 175.236898 93.436) + (xy 175.217495 93.338455) + (xy 175.16224 93.25576) + (xy 175.079545 93.200505) + (xy 175.006624 93.186) + (xy 174.957376 93.186) + (xy 174.884455 93.200505) + (xy 174.80176 93.25576) + (xy 174.746505 93.338455) + (xy 174.727102 93.436) + (xy 174.699156 93.436) + (xy 174.699157 93.435999) + (xy 174.363278 93.10012) + (xy 174.357628 93.108307) + (xy 174.301191 93.257118) + (xy 174.268136 93.295454) + (xy 174.218172 93.303574) + (xy 174.174679 93.277678) + (xy 174.162809 93.257118) + (xy 174.106372 93.108307) + (xy 174.106371 93.108304) + (xy 174.100721 93.10012) + (xy 173.764841 93.436) + (xy 173.736898 93.436) + (xy 173.717495 93.338455) + (xy 173.66224 93.25576) + (xy 173.579545 93.200505) + (xy 173.506624 93.186) + (xy 173.457376 93.186) + (xy 173.384455 93.200505) + (xy 173.30176 93.25576) + (xy 173.246505 93.338455) + (xy 173.227102 93.436) + (xy 173.199156 93.436) + (xy 173.199157 93.435999) + (xy 172.863278 93.10012) + (xy 172.857628 93.108307) + (xy 172.801191 93.257118) + (xy 172.768136 93.295454) + (xy 172.718172 93.303574) + (xy 172.674679 93.277678) + (xy 172.662809 93.257118) + (xy 172.606372 93.108307) + (xy 172.606371 93.108304) + (xy 172.600721 93.10012) + (xy 172.264842 93.436) + (xy 172.236898 93.436) + (xy 172.217495 93.338455) + (xy 172.16224 93.25576) + (xy 172.079545 93.200505) + (xy 172.006624 93.186) + (xy 171.957376 93.186) + (xy 171.884455 93.200505) + (xy 171.80176 93.25576) + (xy 171.746505 93.338455) + (xy 171.727102 93.436) + (xy 171.699156 93.436) + (xy 171.699157 93.435999) + (xy 171.363278 93.10012) + (xy 171.357628 93.108307) + (xy 171.301191 93.257118) + (xy 171.268136 93.295454) + (xy 171.218172 93.303574) + (xy 171.174679 93.277678) + (xy 171.162809 93.257118) + (xy 171.106372 93.108307) + (xy 171.106371 93.108304) + (xy 171.100721 93.10012) + (xy 170.764841 93.436) + (xy 170.736898 93.436) + (xy 170.717495 93.338455) + (xy 170.66224 93.25576) + (xy 170.579545 93.200505) + (xy 170.506624 93.186) + (xy 170.457376 93.186) + (xy 170.384455 93.200505) + (xy 170.30176 93.25576) + (xy 170.246505 93.338455) + (xy 170.227102 93.436) + (xy 170.199157 93.436) + (xy 170.199157 93.435999) + (xy 169.863278 93.10012) + (xy 169.857628 93.108307) + (xy 169.797349 93.267249) + (xy 169.797347 93.267253) + (xy 169.776859 93.435996) + (xy 169.776859 93.436003) + (xy 146.800324 93.436003) + (xy 146.925153 93.311174) + (xy 146.97103 93.289782) + (xy 146.977479 93.2895) + (xy 152.679674 93.2895) + (xy 152.682894 93.28964) + (xy 152.709046 93.291928) + (xy 152.724305 93.293264) + (xy 152.724305 93.293263) + (xy 152.724307 93.293264) + (xy 152.764467 93.282502) + (xy 152.7676 93.281807) + (xy 152.808545 93.274588) + (xy 152.812694 93.272191) + (xy 152.830555 93.264794) + (xy 152.835184 93.263554) + (xy 152.869232 93.239712) + (xy 152.87195 93.23798) + (xy 152.907955 93.217194) + (xy 152.934687 93.185334) + (xy 152.936848 93.182976) + (xy 153.299627 92.820198) + (xy 170.14904 92.820198) + (xy 170.481999 93.153157) + (xy 170.814958 92.820198) + (xy 171.64904 92.820198) + (xy 171.981999 93.153157) + (xy 172.314958 92.820198) + (xy 173.14904 92.820198) + (xy 173.481999 93.153157) + (xy 173.814958 92.820198) + (xy 174.64904 92.820198) + (xy 174.981999 93.153157) + (xy 175.314958 92.820198) + (xy 176.14904 92.820198) + (xy 176.481999 93.153157) + (xy 176.814958 92.820198) + (xy 176.814958 92.820197) + (xy 176.732049 92.776683) + (xy 176.566994 92.736) + (xy 176.397005 92.736) + (xy 176.23195 92.776683) + (xy 176.14904 92.820198) + (xy 175.314958 92.820198) + (xy 175.314958 92.820197) + (xy 175.232049 92.776683) + (xy 175.066994 92.736) + (xy 174.897005 92.736) + (xy 174.73195 92.776683) + (xy 174.64904 92.820198) + (xy 173.814958 92.820198) + (xy 173.814958 92.820197) + (xy 173.732049 92.776683) + (xy 173.566994 92.736) + (xy 173.397005 92.736) + (xy 173.23195 92.776683) + (xy 173.14904 92.820198) + (xy 172.314958 92.820198) + (xy 172.314958 92.820197) + (xy 172.232049 92.776683) + (xy 172.066994 92.736) + (xy 171.897005 92.736) + (xy 171.73195 92.776683) + (xy 171.64904 92.820198) + (xy 170.814958 92.820198) + (xy 170.814958 92.820197) + (xy 170.732049 92.776683) + (xy 170.566994 92.736) + (xy 170.397005 92.736) + (xy 170.23195 92.776683) + (xy 170.14904 92.820198) + (xy 153.299627 92.820198) + (xy 153.832152 92.287674) + (xy 153.87803 92.266282) + (xy 153.884479 92.266) + (xy 154.371749 92.266) + (xy 154.371756 92.266) + (xy 154.401349 92.263225) + (xy 154.475878 92.237146) + (xy 154.525973 92.219617) + (xy 154.525973 92.219616) + (xy 154.525975 92.219616) + (xy 154.632211 92.141211) + (xy 154.710616 92.034975) + (xy 154.716734 92.017493) + (xy 154.749695 91.923294) + (xy 154.754225 91.910349) + (xy 154.757 91.880756) + (xy 154.757 91.839499) + (xy 154.774313 91.791934) + (xy 154.81815 91.766624) + (xy 154.831 91.7655) + (xy 155.051 91.7655) + (xy 155.098566 91.782813) + (xy 155.123876 91.82665) + (xy 155.125 91.8395) + (xy 155.125 91.969266) + (xy 155.127854 91.999699) + (xy 155.127854 91.999701) + (xy 155.127855 91.999704) + (xy 155.172706 92.127881) + (xy 155.172707 92.127883) + (xy 155.253346 92.237146) + (xy 155.253353 92.237153) + (xy 155.362616 92.317792) + (xy 155.362618 92.317793) + (xy 155.490795 92.362644) + (xy 155.490801 92.362646) + (xy 155.521234 92.3655) + (xy 155.521241 92.3655) + (xy 156.304758 92.3655) + (xy 156.304766 92.3655) + (xy 156.335199 92.362646) + (xy 156.463382 92.317793) + (xy 156.57265 92.23715) + (xy 156.653293 92.127882) + (xy 156.698146 91.999699) + (xy 156.701 91.969266) + (xy 156.701 91.64) + (xy 157.0005 91.64) + (xy 157.0005 91.980641) + (xy 157.0034 92.017493) + (xy 157.049218 92.175199) + (xy 157.132817 92.316556) + (xy 157.248943 92.432682) + (xy 157.3903 92.516281) + (xy 157.548008 92.562099) + (xy 157.548005 92.562099) + (xy 157.584859 92.565) + (xy 157.788 92.565) + (xy 157.788 91.64) + (xy 158.188 91.64) + (xy 158.188 92.565) + (xy 158.391141 92.565) + (xy 158.427993 92.562099) + (xy 158.585699 92.516281) + (xy 158.727056 92.432682) + (xy 158.843182 92.316556) + (xy 158.926781 92.175199) + (xy 158.972599 92.017493) + (xy 158.9755 91.980641) + (xy 158.9755 91.64) + (xy 158.188 91.64) + (xy 157.788 91.64) + (xy 157.0005 91.64) + (xy 156.701 91.64) + (xy 156.701 91.24) + (xy 157.0005 91.24) + (xy 157.788 91.24) + (xy 157.788 90.315) + (xy 158.188 90.315) + (xy 158.188 91.24) + (xy 158.9755 91.24) + (xy 158.9755 90.899358) + (xy 158.972599 90.862506) + (xy 158.926781 90.7048) + (xy 158.843182 90.563443) + (xy 158.727056 90.447317) + (xy 158.585699 90.363718) + (xy 158.427991 90.3179) + (xy 158.427994 90.3179) + (xy 158.391141 90.315) + (xy 158.188 90.315) + (xy 157.788 90.315) + (xy 157.584859 90.315) + (xy 157.548006 90.3179) + (xy 157.3903 90.363718) + (xy 157.248943 90.447317) + (xy 157.132817 90.563443) + (xy 157.049218 90.7048) + (xy 157.0034 90.862506) + (xy 157.0005 90.899358) + (xy 157.0005 91.24) + (xy 156.701 91.24) + (xy 156.701 90.910734) + (xy 156.698146 90.880301) + (xy 156.653293 90.752118) + (xy 156.653292 90.752116) + (xy 156.572653 90.642853) + (xy 156.572646 90.642846) + (xy 156.463383 90.562207) + (xy 156.463381 90.562206) + (xy 156.335204 90.517355) + (xy 156.335205 90.517355) + (xy 156.3352 90.517354) + (xy 156.335199 90.517354) + (xy 156.304766 90.5145) + (xy 155.521234 90.5145) + (xy 155.490801 90.517354) + (xy 155.490799 90.517354) + (xy 155.490795 90.517355) + (xy 155.362618 90.562206) + (xy 155.362616 90.562207) + (xy 155.253353 90.642846) + (xy 155.253346 90.642853) + (xy 155.172707 90.752116) + (xy 155.172706 90.752118) + (xy 155.127855 90.880295) + (xy 155.127854 90.880299) + (xy 155.127854 90.880301) + (xy 155.125 90.910734) + (xy 155.125 90.910739) + (xy 155.125 90.91074) + (xy 155.125 91.0405) + (xy 155.107687 91.088066) + (xy 155.06385 91.113376) + (xy 155.051 91.1145) + (xy 154.724707 91.1145) + (xy 154.677141 91.097187) + (xy 154.665167 91.084442) + (xy 154.632215 91.039794) + (xy 154.632213 91.039792) + (xy 154.632211 91.039789) + (xy 154.562498 90.988339) + (xy 154.525973 90.961382) + (xy 154.401354 90.917776) + (xy 154.401355 90.917776) + (xy 154.40135 90.917775) + (xy 154.401349 90.917775) + (xy 154.371756 90.915) + (xy 154.37175 90.915) + (xy 153.884479 90.915) + (xy 153.836913 90.897687) + (xy 153.832153 90.893326) + (xy 153.114357 90.175531) + (xy 153.112176 90.173151) + (xy 153.093662 90.151087) + (xy 153.085455 90.141306) + (xy 153.057314 90.125058) + (xy 153.024779 90.086281) + (xy 153.02447 90.036534) + (xy 153.067225 89.914349) + (xy 153.07 89.884756) + (xy 153.07 89.279244) + (xy 153.067225 89.249651) + (xy 153.067223 89.249645) + (xy 153.023617 89.125026) + (xy 153.000294 89.093424) + (xy 152.945211 89.018789) + (xy 152.868722 88.962338) + (xy 152.838973 88.940382) + (xy 152.714354 88.896776) + (xy 152.714355 88.896776) + (xy 152.71435 88.896775) + (xy 152.714349 88.896775) + (xy 152.684756 88.894) + (xy 152.104244 88.894) + (xy 152.074651 88.896775) + (xy 152.074649 88.896775) + (xy 152.074645 88.896776) + (xy 151.950026 88.940382) + (xy 151.86205 89.005311) + (xy 151.843791 89.018788) + (xy 151.843788 89.01879) + (xy 151.843786 89.018791) + (xy 151.837661 89.027091) + (xy 151.795484 89.05508) + (xy 151.745184 89.04941) + (xy 151.725797 89.035471) + (xy 151.553857 88.863531) + (xy 151.551676 88.861151) + (xy 151.547021 88.855604) + (xy 151.524955 88.829306) + (xy 151.507588 88.819278) + (xy 151.488958 88.808522) + (xy 151.486236 88.806788) + (xy 151.452185 88.782946) + (xy 151.452182 88.782945) + (xy 151.447547 88.781703) + (xy 151.4297 88.774311) + (xy 151.425544 88.771911) + (xy 151.384614 88.764693) + (xy 151.381464 88.763995) + (xy 151.341306 88.753235) + (xy 151.341305 88.753235) + (xy 151.308318 88.756122) + (xy 151.299894 88.756859) + (xy 151.296674 88.757) + (xy 151.241411 88.757) + (xy 151.193845 88.739687) + (xy 151.181249 88.726068) + (xy 151.098482 88.643301) + (xy 151.055688 88.622381) + (xy 151.020558 88.585937) + (xy 151.017073 88.535438) + (xy 151.046864 88.494514) + (xy 151.061041 88.487059) + (xy 151.164092 88.446422) + (xy 151.284564 88.355064) + (xy 151.375922 88.234591) + (xy 151.40202 88.168411) + (xy 151.435575 88.130512) + (xy 151.485641 88.123048) + (xy 151.528791 88.149512) + (xy 151.541923 88.174911) + (xy 151.567281 88.262195) + (xy 151.649272 88.400834) + (xy 151.763165 88.514727) + (xy 151.901804 88.596718) + (xy 152.056479 88.641655) + (xy 152.056476 88.641655) + (xy 152.092617 88.644499) + (xy 152.1945 88.644499) + (xy 152.1945 87.957) + (xy 152.5945 87.957) + (xy 152.5945 88.644499) + (xy 152.696382 88.644499) + (xy 152.732522 88.641655) + (xy 152.887195 88.596718) + (xy 153.025834 88.514727) + (xy 153.139727 88.400834) + (xy 153.221718 88.262195) + (xy 153.266655 88.107522) + (xy 153.2695 88.071382) + (xy 153.2695 87.957) + (xy 152.5945 87.957) + (xy 152.1945 87.957) + (xy 151.519501 87.957) + (xy 151.508913 87.967587) + (xy 151.502188 87.986066) + (xy 151.458351 88.011376) + (xy 151.445501 88.0125) + (xy 148.692833 88.0125) + (xy 148.702614 88.093945) + (xy 148.758077 88.234592) + (xy 148.849435 88.355064) + (xy 148.969907 88.446422) + (xy 149.072958 88.487059) + (xy 149.110857 88.520615) + (xy 149.11832 88.57068) + (xy 149.091855 88.61383) + (xy 149.078312 88.622381) + (xy 149.035516 88.643302) + (xy 148.948466 88.730353) + (xy 148.947655 88.729542) + (xy 148.911081 88.754652) + (xy 148.892589 88.757) + (xy 148.199229 88.757) + (xy 148.151663 88.739687) + (xy 148.146903 88.735326) + (xy 147.024077 87.6125) + (xy 148.692832 87.6125) + (xy 149.867 87.6125) + (xy 150.267 87.6125) + (xy 151.441167 87.6125) + (xy 151.454291 87.597705) + (xy 151.455969 87.590529) + (xy 151.496477 87.560175) + (xy 151.517921 87.557) + (xy 152.1945 87.557) + (xy 152.1945 86.8695) + (xy 152.5945 86.8695) + (xy 152.5945 87.557) + (xy 153.269499 87.557) + (xy 153.269499 87.442618) + (xy 153.266655 87.406477) + (xy 153.221718 87.251804) + (xy 153.139727 87.113165) + (xy 153.025834 86.999272) + (xy 152.887195 86.917281) + (xy 152.73252 86.872344) + (xy 152.732523 86.872344) + (xy 152.696382 86.8695) + (xy 152.5945 86.8695) + (xy 152.1945 86.8695) + (xy 152.092618 86.8695) + (xy 152.056477 86.872344) + (xy 151.901804 86.917281) + (xy 151.763165 86.999272) + (xy 151.649272 87.113165) + (xy 151.567281 87.251804) + (xy 151.523354 87.403001) + (xy 151.493457 87.443848) + (xy 151.4443 87.455922) + (xy 151.398881 87.433573) + (xy 151.383451 87.4095) + (xy 151.375923 87.390409) + (xy 151.284564 87.269935) + (xy 151.164092 87.178577) + (xy 151.023443 87.123114) + (xy 150.935061 87.1125) + (xy 150.267 87.1125) + (xy 150.267 87.6125) + (xy 149.867 87.6125) + (xy 149.867 87.1125) + (xy 149.198939 87.1125) + (xy 149.110556 87.123114) + (xy 148.969907 87.178577) + (xy 148.849435 87.269935) + (xy 148.758077 87.390407) + (xy 148.702614 87.531054) + (xy 148.692832 87.6125) + (xy 147.024077 87.6125) + (xy 146.070768 86.659191) + (xy 146.068587 86.656811) + (xy 146.065774 86.653459) + (xy 146.041866 86.624966) + (xy 146.024499 86.614938) + (xy 146.005869 86.604182) + (xy 146.003147 86.602448) + (xy 145.969096 86.578606) + (xy 145.969093 86.578605) + (xy 145.964458 86.577363) + (xy 145.946618 86.569975) + (xy 145.945951 86.56959) + (xy 145.913409 86.530817) + (xy 145.913404 86.480198) + (xy 145.945937 86.441418) + (xy 145.982944 86.4315) + (xy 146.776358 86.4315) + (xy 146.823924 86.448813) + (xy 146.835066 86.460452) + (xy 146.891715 86.534279) + (xy 146.89172 86.534284) + (xy 146.947862 86.577363) + (xy 147.017159 86.630536) + (xy 147.163238 86.691044) + (xy 147.32 86.711682) + (xy 147.476762 86.691044) + (xy 147.622841 86.630536) + (xy 147.748282 86.534282) + (xy 147.844536 86.408841) + (xy 147.905044 86.262762) + (xy 147.925682 86.106) + (xy 147.905044 85.949238) + (xy 147.844536 85.803159) + (xy 147.748282 85.677718) + (xy 147.748279 85.677715) + (xy 147.622841 85.581464) + (xy 147.476761 85.520955) + (xy 147.340637 85.503034) + (xy 147.32 85.500318) + (xy 147.319999 85.500318) + (xy 147.163239 85.520955) + (xy 147.163238 85.520955) + (xy 147.017158 85.581464) + (xy 146.89172 85.677715) + (xy 146.891715 85.67772) + (xy 146.835066 85.751548) + (xy 146.792375 85.778746) + (xy 146.776358 85.7805) + (xy 143.779826 85.7805) + (xy 143.776605 85.780359) + (xy 143.76765 85.779575) + (xy 143.735194 85.776735) + (xy 143.735192 85.776735) + (xy 143.695033 85.787495) + (xy 143.691884 85.788193) + (xy 143.650952 85.795412) + (xy 143.650951 85.795412) + (xy 143.64679 85.797815) + (xy 143.628959 85.805201) + (xy 143.624317 85.806445) + (xy 143.624312 85.806447) + (xy 143.590268 85.830285) + (xy 143.587547 85.832019) + (xy 143.551547 85.852804) + (xy 143.551543 85.852808) + (xy 143.524827 85.884645) + (xy 143.522646 85.887026) + (xy 142.78303 86.626641) + (xy 142.78065 86.628822) + (xy 142.748808 86.655542) + (xy 142.748806 86.655544) + (xy 142.748075 86.656811) + (xy 142.741902 86.6675) + (xy 142.703127 86.700037) + (xy 142.677818 86.7045) + (xy 142.377734 86.7045) + (xy 142.347301 86.707354) + (xy 142.347299 86.707354) + (xy 142.347295 86.707355) + (xy 142.219118 86.752206) + (xy 142.219116 86.752207) + (xy 142.109853 86.832846) + (xy 142.109846 86.832853) + (xy 142.029207 86.942116) + (xy 142.029206 86.942118) + (xy 141.984355 87.070295) + (xy 141.984354 87.070299) + (xy 141.984354 87.070301) + (xy 141.9815 87.100734) + (xy 141.9815 88.159266) + (xy 141.682 88.159266) + (xy 141.682 87.83) + (xy 140.8945 87.83) + (xy 140.4945 87.83) + (xy 139.707 87.83) + (xy 110.3075 87.83) + (xy 110.3075 87.43) + (xy 139.707 87.43) + (xy 140.4945 87.43) + (xy 140.4945 86.505) + (xy 140.8945 86.505) + (xy 140.8945 87.43) + (xy 141.682 87.43) + (xy 141.682 87.089358) + (xy 141.679099 87.052506) + (xy 141.633281 86.8948) + (xy 141.549682 86.753443) + (xy 141.433556 86.637317) + (xy 141.292199 86.553718) + (xy 141.134491 86.5079) + (xy 141.134494 86.5079) + (xy 141.097641 86.505) + (xy 140.8945 86.505) + (xy 140.4945 86.505) + (xy 140.291359 86.505) + (xy 140.254506 86.5079) + (xy 140.0968 86.553718) + (xy 139.955443 86.637317) + (xy 139.839317 86.753443) + (xy 139.755718 86.8948) + (xy 139.7099 87.052506) + (xy 139.707 87.089358) + (xy 139.707 87.43) + (xy 110.3075 87.43) + (xy 110.3075 86.883814) + (xy 110.307641 86.880587) + (xy 110.307854 86.87816) + (xy 110.311263 86.839193) + (xy 110.3005 86.799028) + (xy 110.299809 86.795915) + (xy 110.292588 86.754955) + (xy 110.290189 86.7508) + (xy 110.282794 86.732945) + (xy 110.281554 86.728317) + (xy 110.281553 86.728314) + (xy 110.257716 86.694272) + (xy 110.25598 86.691548) + (xy 110.237299 86.659191) + (xy 110.235194 86.655545) + (xy 110.235193 86.655544) + (xy 110.203353 86.628826) + (xy 110.200972 86.626645) + (xy 101.960575 78.386249) + (xy 101.939183 78.340373) + (xy 101.939533 78.324269) + (xy 101.951682 78.232) + (xy 101.931044 78.075238) + (xy 101.870536 77.929159) + (xy 101.784467 77.816991) + (xy 101.774284 77.80372) + (xy 101.774279 77.803715) + (xy 101.648841 77.707464) + (xy 101.502761 77.646955) + (xy 101.346 77.626318) + (xy 101.189239 77.646955) + (xy 101.189238 77.646955) + (xy 101.043158 77.707464) + (xy 100.91772 77.803715) + (xy 100.917715 77.80372) + (xy 100.821464 77.929158) + (xy 100.760955 78.075238) + (xy 100.760955 78.075239) + (xy 100.740318 78.232) + (xy 90.0005 78.232) + (xy 90.0005 77.0745) + (xy 90.017813 77.026934) + (xy 90.06165 77.001624) + (xy 90.0745 77.0005) + (xy 90.282837 77.0005) + (xy 90.282852 77.0005) + (xy 90.605718 76.980186) + (xy 90.606808 76.980135) + (xy 90.633643 76.979257) + (xy 90.64295 76.977844) + (xy 90.84744 76.964979) + (xy 91.196772 76.920847) + (xy 91.197782 76.920734) + (xy 91.212456 76.919288) + (xy 91.217081 76.918282) + (xy 91.408683 76.894077) + (xy 91.964367 76.788075) + (xy 92.512299 76.64739) + (xy 93.050316 76.472578) + (xy 93.097238 76.454) + (xy 123.692284 76.454) + (xy 123.711117 76.693301) + (xy 123.767152 76.926706) + (xy 123.859008 77.148469) + (xy 123.859015 77.148482) + (xy 123.984432 77.353142) + (xy 123.984433 77.353143) + (xy 124.010036 77.38312) + (xy 124.495266 76.89789) + (xy 124.514316 76.933822) + (xy 124.634009 77.074735) + (xy 124.776094 77.182746) + (xy 124.292878 77.665962) + (xy 124.292878 77.665963) + (xy 124.322846 77.691559) + (xy 124.32286 77.691569) + (xy 124.527517 77.816984) + (xy 124.52753 77.816991) + (xy 124.749293 77.908847) + (xy 124.982698 77.964882) + (xy 125.221999 77.983715) + (xy 125.461301 77.964882) + (xy 125.694706 77.908847) + (xy 125.916469 77.816991) + (xy 125.916482 77.816984) + (xy 126.121139 77.691569) + (xy 126.121152 77.691559) + (xy 126.15112 77.665963) + (xy 125.664756 77.179599) + (xy 125.739431 77.134669) + (xy 125.873658 77.007523) + (xy 125.94829 76.897447) + (xy 126.433963 77.38312) + (xy 126.459559 77.353152) + (xy 126.459569 77.353139) + (xy 126.584984 77.148482) + (xy 126.584991 77.148469) + (xy 126.676847 76.926706) + (xy 126.732882 76.693301) + (xy 126.751715 76.454) + (xy 128.772284 76.454) + (xy 128.791117 76.693301) + (xy 128.847152 76.926706) + (xy 128.939008 77.148469) + (xy 128.939015 77.148482) + (xy 129.064432 77.353142) + (xy 129.064433 77.353143) + (xy 129.090036 77.38312) + (xy 129.575266 76.89789) + (xy 129.594316 76.933822) + (xy 129.714009 77.074735) + (xy 129.856094 77.182745) + (xy 129.372878 77.665962) + (xy 129.372878 77.665963) + (xy 129.402846 77.691559) + (xy 129.40286 77.691569) + (xy 129.607517 77.816984) + (xy 129.60753 77.816991) + (xy 129.829293 77.908847) + (xy 130.062698 77.964882) + (xy 130.301999 77.983715) + (xy 130.541301 77.964882) + (xy 130.774706 77.908847) + (xy 130.996469 77.816991) + (xy 130.996482 77.816984) + (xy 131.201139 77.691569) + (xy 131.201152 77.691559) + (xy 131.23112 77.665963) + (xy 130.744756 77.179599) + (xy 130.819431 77.134669) + (xy 130.953658 77.007523) + (xy 131.02829 76.897447) + (xy 131.513963 77.38312) + (xy 131.539559 77.353152) + (xy 131.539569 77.353139) + (xy 131.664984 77.148482) + (xy 131.664991 77.148469) + (xy 131.756847 76.926706) + (xy 131.812882 76.693301) + (xy 131.831715 76.453999) + (xy 131.812882 76.214698) + (xy 131.756847 75.981293) + (xy 131.664991 75.75953) + (xy 131.664984 75.759517) + (xy 131.539569 75.55486) + (xy 131.539559 75.554846) + (xy 131.513963 75.524878) + (xy 131.513962 75.524878) + (xy 131.028732 76.010107) + (xy 131.009684 75.974178) + (xy 130.889991 75.833265) + (xy 130.747903 75.725252) + (xy 131.23112 75.242036) + (xy 131.201143 75.216433) + (xy 131.201142 75.216432) + (xy 130.996482 75.091015) + (xy 130.996469 75.091008) + (xy 130.774706 74.999152) + (xy 130.541301 74.943117) + (xy 130.302 74.924284) + (xy 130.062698 74.943117) + (xy 129.829293 74.999152) + (xy 129.60753 75.091008) + (xy 129.607517 75.091015) + (xy 129.402855 75.216433) + (xy 129.40285 75.216436) + (xy 129.372878 75.242035) + (xy 129.859243 75.7284) + (xy 129.784569 75.773331) + (xy 129.650342 75.900477) + (xy 129.575709 76.010552) + (xy 129.090035 75.524878) + (xy 129.064436 75.55485) + (xy 129.064433 75.554855) + (xy 128.939015 75.759517) + (xy 128.939008 75.75953) + (xy 128.847152 75.981293) + (xy 128.791117 76.214698) + (xy 128.772284 76.454) + (xy 126.751715 76.454) + (xy 126.751715 76.453999) + (xy 126.732882 76.214698) + (xy 126.676847 75.981293) + (xy 126.584991 75.75953) + (xy 126.584984 75.759517) + (xy 126.459569 75.55486) + (xy 126.459559 75.554846) + (xy 126.433963 75.524878) + (xy 126.433962 75.524878) + (xy 125.948732 76.010107) + (xy 125.929684 75.974178) + (xy 125.809991 75.833265) + (xy 125.667903 75.725252) + (xy 126.15112 75.242036) + (xy 126.121143 75.216433) + (xy 126.121142 75.216432) + (xy 125.916482 75.091015) + (xy 125.916469 75.091008) + (xy 125.694706 74.999152) + (xy 125.461301 74.943117) + (xy 125.222 74.924284) + (xy 124.982698 74.943117) + (xy 124.749293 74.999152) + (xy 124.52753 75.091008) + (xy 124.527517 75.091015) + (xy 124.322855 75.216433) + (xy 124.32285 75.216436) + (xy 124.292878 75.242035) + (xy 124.292878 75.242036) + (xy 124.779243 75.728401) + (xy 124.704569 75.773331) + (xy 124.570342 75.900477) + (xy 124.495709 76.010552) + (xy 124.010035 75.524878) + (xy 123.984436 75.55485) + (xy 123.984433 75.554855) + (xy 123.859015 75.759517) + (xy 123.859008 75.75953) + (xy 123.767152 75.981293) + (xy 123.711117 76.214698) + (xy 123.692284 76.454) + (xy 93.097238 76.454) + (xy 93.37453 76.344211) + (xy 93.576265 76.26434) + (xy 93.576274 76.264335) + (xy 93.576294 76.264328) + (xy 94.088159 76.023463) + (xy 94.583889 75.750933) + (xy 95.061529 75.447813) + (xy 95.291475 75.280748) + (xy 95.519186 75.115306) + (xy 95.519185 75.115306) + (xy 95.519193 75.115301) + (xy 95.955076 74.754707) + (xy 96.367456 74.367456) + (xy 96.754707 73.955076) + (xy 97.115301 73.519193) + (xy 97.295718 73.27087) + (xy 97.447801 73.061546) + (xy 97.447812 73.06153) + (xy 97.447813 73.061529) + (xy 97.662911 72.722589) + (xy 97.703015 72.691705) + (xy 97.753589 72.693825) + (xy 97.790969 72.727957) + (xy 97.798479 72.750665) + (xy 97.819836 72.885504) + (xy 97.917498 73.249987) + (xy 98.05272 73.602254) + (xy 98.224029 73.938468) + (xy 98.429543 74.254932) + (xy 98.659836 74.539319) + (xy 99.737685 73.461471) + (xy 99.783561 73.440079) + (xy 99.832456 73.45318) + (xy 99.842337 73.461471) + (xy 100.020528 73.639662) + (xy 100.04192 73.685538) + (xy 100.028819 73.734433) + (xy 100.020528 73.744314) + (xy 98.942679 74.822162) + (xy 98.942679 74.822163) + (xy 99.227067 75.052456) + (xy 99.543531 75.25797) + (xy 99.879745 75.429279) + (xy 100.232012 75.564501) + (xy 100.596495 75.662163) + (xy 100.969176 75.721191) + (xy 101.346 75.740939) + (xy 101.722822 75.721191) + (xy 101.722823 75.721191) + (xy 102.095504 75.662163) + (xy 102.459987 75.564501) + (xy 102.812254 75.429279) + (xy 103.148468 75.25797) + (xy 103.464932 75.052456) + (xy 103.749319 74.822162) + (xy 102.671471 73.744314) + (xy 102.650079 73.698438) + (xy 102.66318 73.649543) + (xy 102.671465 73.639668) + (xy 102.849663 73.46147) + (xy 102.895538 73.440079) + (xy 102.944433 73.45318) + (xy 102.954314 73.461471) + (xy 104.032162 74.539319) + (xy 104.262456 74.254932) + (xy 104.46797 73.938468) + (xy 104.480434 73.914005) + (xy 126.531819 73.914005) + (xy 126.550507 74.127615) + (xy 126.550508 74.127622) + (xy 126.606005 74.334739) + (xy 126.606007 74.334743) + (xy 126.606008 74.334747) + (xy 126.696632 74.529091) + (xy 126.819627 74.704745) + (xy 126.971255 74.856373) + (xy 127.146909 74.979368) + (xy 127.341253 75.069992) + (xy 127.341259 75.069993) + (xy 127.34126 75.069994) + (xy 127.510333 75.115297) + (xy 127.548381 75.125492) + (xy 127.628488 75.1325) + (xy 127.761995 75.144181) + (xy 127.762 75.144181) + (xy 127.762005 75.144181) + (xy 127.880677 75.133798) + (xy 127.975619 75.125492) + (xy 128.182747 75.069992) + (xy 128.377091 74.979368) + (xy 128.552745 74.856373) + (xy 128.704373 74.704745) + (xy 128.827368 74.529091) + (xy 128.917992 74.334747) + (xy 128.973492 74.127619) + (xy 128.981798 74.032677) + (xy 128.992181 73.914005) + (xy 128.992181 73.913994) + (xy 128.979721 73.771587) + (xy 128.973492 73.700381) + (xy 128.972971 73.698438) + (xy 128.917993 73.493256) + (xy 128.917992 73.493253) + (xy 128.827368 73.29891) + (xy 128.704373 73.123255) + (xy 128.552745 72.971627) + (xy 128.377091 72.848632) + (xy 128.182747 72.758008) + (xy 128.182743 72.758007) + (xy 128.182739 72.758005) + (xy 127.975622 72.702508) + (xy 127.975615 72.702507) + (xy 127.762005 72.683819) + (xy 127.761995 72.683819) + (xy 127.548384 72.702507) + (xy 127.548376 72.702508) + (xy 127.341256 72.758006) + (xy 127.238312 72.80601) + (xy 127.14691 72.848632) + (xy 127.146907 72.848633) + (xy 127.146909 72.848633) + (xy 126.971257 72.971625) + (xy 126.819625 73.123257) + (xy 126.753978 73.217011) + (xy 126.696632 73.29891) + (xy 126.65401 73.390312) + (xy 126.606006 73.493256) + (xy 126.550508 73.700376) + (xy 126.550507 73.700384) + (xy 126.531819 73.913994) + (xy 126.531819 73.914005) + (xy 104.480434 73.914005) + (xy 104.639279 73.602254) + (xy 104.774501 73.249987) + (xy 104.872163 72.885504) + (xy 104.931191 72.512823) + (xy 104.931191 72.512822) + (xy 104.950939 72.136) + (xy 104.931191 71.759177) + (xy 104.931191 71.759176) + (xy 104.872163 71.386495) + (xy 104.868815 71.374) + (xy 123.692284 71.374) + (xy 123.711117 71.613301) + (xy 123.767152 71.846706) + (xy 123.859008 72.068469) + (xy 123.859015 72.068482) + (xy 123.984432 72.273142) + (xy 123.984433 72.273143) + (xy 124.010036 72.30312) + (xy 124.495266 71.81789) + (xy 124.514316 71.853822) + (xy 124.634009 71.994735) + (xy 124.776094 72.102746) + (xy 124.292878 72.585962) + (xy 124.292878 72.585963) + (xy 124.322846 72.611559) + (xy 124.32286 72.611569) + (xy 124.527517 72.736984) + (xy 124.52753 72.736991) + (xy 124.749293 72.828847) + (xy 124.982698 72.884882) + (xy 125.221999 72.903715) + (xy 125.461301 72.884882) + (xy 125.694706 72.828847) + (xy 125.916469 72.736991) + (xy 125.916482 72.736984) + (xy 126.121139 72.611569) + (xy 126.121152 72.611559) + (xy 126.15112 72.585963) + (xy 125.664756 72.099599) + (xy 125.739431 72.054669) + (xy 125.873658 71.927523) + (xy 125.94829 71.817447) + (xy 126.433963 72.30312) + (xy 126.459559 72.273152) + (xy 126.459569 72.273139) + (xy 126.584984 72.068482) + (xy 126.584991 72.068469) + (xy 126.676847 71.846706) + (xy 126.732882 71.613301) + (xy 126.751715 71.374) + (xy 128.772284 71.374) + (xy 128.791117 71.613301) + (xy 128.847152 71.846706) + (xy 128.939008 72.068469) + (xy 128.939015 72.068482) + (xy 129.064432 72.273142) + (xy 129.064433 72.273143) + (xy 129.090036 72.30312) + (xy 129.575266 71.817889) + (xy 129.594316 71.853822) + (xy 129.714009 71.994735) + (xy 129.856094 72.102746) + (xy 129.372878 72.585962) + (xy 129.372878 72.585963) + (xy 129.402846 72.611559) + (xy 129.40286 72.611569) + (xy 129.607517 72.736984) + (xy 129.60753 72.736991) + (xy 129.829293 72.828847) + (xy 130.062698 72.884882) + (xy 130.301999 72.903715) + (xy 130.541301 72.884882) + (xy 130.774706 72.828847) + (xy 130.996469 72.736991) + (xy 130.996482 72.736984) + (xy 131.201139 72.611569) + (xy 131.201152 72.611559) + (xy 131.23112 72.585963) + (xy 130.744756 72.099599) + (xy 130.819431 72.054669) + (xy 130.953658 71.927523) + (xy 131.02829 71.817447) + (xy 131.513963 72.30312) + (xy 131.539559 72.273152) + (xy 131.539569 72.273139) + (xy 131.664984 72.068482) + (xy 131.664991 72.068469) + (xy 131.756847 71.846706) + (xy 131.812882 71.613301) + (xy 131.831715 71.373999) + (xy 131.812882 71.134698) + (xy 131.756847 70.901293) + (xy 131.664991 70.67953) + (xy 131.664984 70.679517) + (xy 131.539569 70.47486) + (xy 131.539559 70.474846) + (xy 131.513963 70.444878) + (xy 131.513962 70.444878) + (xy 131.028732 70.930107) + (xy 131.009684 70.894178) + (xy 130.889991 70.753265) + (xy 130.747903 70.645252) + (xy 131.23112 70.162036) + (xy 131.201143 70.136433) + (xy 131.201142 70.136432) + (xy 130.996482 70.011015) + (xy 130.996469 70.011008) + (xy 130.774706 69.919152) + (xy 130.541301 69.863117) + (xy 130.302 69.844284) + (xy 130.062698 69.863117) + (xy 129.829293 69.919152) + (xy 129.60753 70.011008) + (xy 129.607517 70.011015) + (xy 129.402855 70.136433) + (xy 129.40285 70.136436) + (xy 129.372878 70.162035) + (xy 129.859243 70.6484) + (xy 129.784569 70.693331) + (xy 129.650342 70.820477) + (xy 129.575709 70.930551) + (xy 129.090036 70.444878) + (xy 129.090035 70.444878) + (xy 129.064436 70.47485) + (xy 129.064433 70.474855) + (xy 128.939015 70.679517) + (xy 128.939008 70.67953) + (xy 128.847152 70.901293) + (xy 128.791117 71.134698) + (xy 128.772284 71.374) + (xy 126.751715 71.374) + (xy 126.751715 71.373999) + (xy 126.732882 71.134698) + (xy 126.676847 70.901293) + (xy 126.584991 70.67953) + (xy 126.584984 70.679517) + (xy 126.459569 70.47486) + (xy 126.459559 70.474846) + (xy 126.433963 70.444878) + (xy 126.433962 70.444878) + (xy 125.948732 70.930107) + (xy 125.929684 70.894178) + (xy 125.809991 70.753265) + (xy 125.667903 70.645252) + (xy 126.15112 70.162036) + (xy 126.121143 70.136433) + (xy 126.121142 70.136432) + (xy 125.916482 70.011015) + (xy 125.916469 70.011008) + (xy 125.694706 69.919152) + (xy 125.461301 69.863117) + (xy 125.222 69.844284) + (xy 124.982698 69.863117) + (xy 124.749293 69.919152) + (xy 124.52753 70.011008) + (xy 124.527517 70.011015) + (xy 124.322855 70.136433) + (xy 124.32285 70.136436) + (xy 124.292878 70.162035) + (xy 124.779243 70.6484) + (xy 124.704569 70.693331) + (xy 124.570342 70.820477) + (xy 124.495709 70.930552) + (xy 124.010035 70.444878) + (xy 123.984436 70.47485) + (xy 123.984433 70.474855) + (xy 123.859015 70.679517) + (xy 123.859008 70.67953) + (xy 123.767152 70.901293) + (xy 123.711117 71.134698) + (xy 123.692284 71.374) + (xy 104.868815 71.374) + (xy 104.774501 71.022012) + (xy 104.639279 70.669745) + (xy 104.46797 70.333531) + (xy 104.262456 70.017067) + (xy 104.032162 69.732679) + (xy 102.954314 70.810528) + (xy 102.908438 70.83192) + (xy 102.859543 70.818819) + (xy 102.849662 70.810528) + (xy 102.671471 70.632337) + (xy 102.650079 70.586461) + (xy 102.66318 70.537566) + (xy 102.671471 70.527685) + (xy 103.749319 69.449836) + (xy 103.749319 69.449835) + (xy 103.464932 69.219543) + (xy 103.148468 69.014029) + (xy 102.812254 68.84272) + (xy 102.459987 68.707498) + (xy 102.095504 68.609836) + (xy 101.722823 68.550808) + (xy 101.345999 68.53106) + (xy 100.969177 68.550808) + (xy 100.969176 68.550808) + (xy 100.596495 68.609836) + (xy 100.232012 68.707498) + (xy 99.879745 68.84272) + (xy 99.543531 69.014029) + (xy 99.227067 69.219543) + (xy 99.025805 69.382522) + (xy 98.977943 69.399002) + (xy 98.930686 69.380861) + (xy 98.906146 69.336589) + (xy 98.905818 69.315743) + (xy 98.918281 69.217087) + (xy 98.918963 69.21576) + (xy 98.920734 69.197782) + (xy 98.920848 69.19677) + (xy 98.964977 68.847454) + (xy 98.964979 68.84744) + (xy 98.977843 68.642957) + (xy 98.979042 68.640215) + (xy 98.980135 68.606808) + (xy 98.980188 68.605695) + (xy 98.983642 68.550808) + (xy 99.0005 68.282852) + (xy 99.0005 68.0745) + (xy 99.017813 68.026934) + (xy 99.06165 68.001624) + (xy 99.0745 68.0005) + (xy 174.9255 68.0005) + ) + ) + ) + (group "" (id 4eb2c385-9473-4f16-b1ad-bab22fbfeca1) + (members + 00df181a-286f-4e40-9bde-0924dad3ba03 + 015a9b1c-4396-4b29-9158-19f10f2e6f2d + 01d66f3a-81e1-44d2-b1fe-abbc812cabd5 + 021501f2-6963-4a1a-a763-01f8fd1e13db + 02f2a9d1-a8b7-4922-83da-3595632cfcc1 + 04182016-fef4-41f5-af83-09c29dd679cd + 045fd884-7c9a-48df-bce8-05243cb3e74c + 08b1f17f-f00d-4520-a9d3-ddd9fc2e4584 + 0952d0f2-0c81-41ff-ae63-7fed88ecfebc + 09626e8c-1256-42a9-8a9e-32dd629e3970 + 098977c9-8d4f-4bd5-a2df-e8d965432045 + 0a171aba-c502-4ffc-b661-bc30831ad761 + 0ad11802-fd5d-4aaf-b35e-65fd82de8ed6 + 0c7a4d69-fb52-46e4-b0f7-62a3bae75808 + 0ce54189-9dd4-429c-bac9-29a96b87f80b + 0db2db60-4049-40c9-9760-320f720180d0 + 0e25c7a9-a1c9-462a-b6c3-56c54ea43ef6 + 0e5bbaea-b6ef-4b1d-9302-e82f9d05c4e8 + 1184c027-881c-440b-827c-1b5935f4200c + 123c4138-0a13-4f42-bf61-26499cade563 + 13d277f4-f14b-4c78-b061-00a49a6bf081 + 1483f2b0-abf3-4d95-bc19-9344ddfe0a65 + 1502c610-91e0-4caf-8489-1134f21fc987 + 157361b5-9688-4405-ae5e-5f0032e130aa + 16aa7c6a-edf4-4cfa-87e8-41226e1f9287 + 16fdf209-a51a-45b6-b4e6-31d2df9c942b + 170d17c8-2794-43e0-94e7-c8f533790b10 + 18587c0a-46d8-4083-862c-b1444729f228 + 189e389a-6734-4648-bd0e-cff1893685a7 + 18b09ae7-65f3-4c7e-853c-f3f44d8f69ca + 18f6590f-c8c1-4f9a-b7c2-c1b10a602e2d + 1945175b-d000-4eb6-a303-142a15e79df7 + 19fce1c0-0491-4ec2-9c8d-fd4e703107ac + 1b21492f-afc8-429d-8b45-025839fc10d8 + 1b64ea2b-707a-40b3-b3a1-e2ab8484aa92 + 1b927e9e-af51-4784-9878-fe8b40f76d41 + 1bda6c1b-7191-43e1-842d-590d3bfdeb20 + 1c32a60e-b54a-4024-9295-37da388bcd59 + 1c752d5b-4afc-4ce9-97c8-9e68a71a86de + 1dbbb837-9ce1-4782-b821-53ae791c1e10 + 1e5dc3b7-c9da-4786-8a64-0f2261a20fed + 1e824b3d-52e1-469c-83f3-4531f099f9c6 + 1f2a87bf-4db7-468a-aaf1-70c4a6dfc804 + 1f3a49d8-5a35-4c40-815c-6c3099f3e46c + 1ff5a7bf-9859-432a-a7b9-6eaf3040db17 + 20572b74-202e-4945-ad61-9bd92fc352c5 + 205bc223-bfef-4f9c-9b8c-c73233d8b1ba + 219ffb3e-c5ea-4f4e-a224-f59175a41014 + 21a98a99-32d6-407a-8d70-fe37dd452d23 + 21bf200e-3ac3-42e8-8083-fe798c13139c + 21c85861-5a89-464c-8e19-12146fb720ab + 225e322a-fde9-4119-971b-5036b46cbb3f + 2264d98d-6299-4164-9877-fefb81f7c122 + 229fdb6b-d797-4e4e-9011-83d3dff3c49a + 24f05665-294d-40d6-8154-7b6a6742b719 + 25d0c11a-74c6-4727-b0cb-487fb2f74118 + 26f7f336-1762-4e4a-8965-818434fab679 + 27997dd4-32d5-4b97-bdb4-dd77b7df37e5 + 2a8905a9-89b4-4360-9457-6ab8475e0ed7 + 2b08f42d-bba1-419b-853a-003c3ee81942 + 2b33db72-5581-4ea6-b6db-fcdcb73eaf0b + 2dec509f-5a7b-4e51-945c-da0f4d0ef44b + 2e9f34b8-3cc3-49b8-96e3-9b3391d715cc + 2fdbe60d-7d2e-44d5-b348-a206cfae3973 + 3150533c-5342-4120-821f-bb152813b182 + 32f6ef21-89d0-4a7e-8337-7e395e5f998e + 33da9b19-efa6-4b1d-ad60-0b47395e3248 + 34524518-6c8b-485c-b7cf-0fa587199792 + 34966d8b-da49-4612-b20c-dacde95b85cb + 3520548d-a306-43bf-808b-7d2bb282c8cb + 353c2fab-aec1-46da-9c67-114ecf8cdd41 + 35e90b85-c0fc-4623-81e0-f141c5b76fd1 + 3736e1d1-269c-4e25-bcc2-b211d8f86520 + 374ce295-5734-482f-9bcf-bc5250e81ed7 + 3791e4bf-5dea-44ef-8262-626b4b339cc5 + 3931daa9-f3e8-440e-949a-5e02d49871a8 + 3a75c9d6-8b05-45e2-814b-8f0bc90d46c7 + 3abaa557-17c7-4f7a-bd73-b0cbb539cd68 + 3b976098-e331-4f75-abc4-ace59f7f7ef3 + 3bf19539-a49f-4058-baea-0b5cc80df11d + 3c7c4062-c22e-4574-8015-f730b5e1801d + 3e549db1-962a-45db-b43f-15ea70e99859 + 3eafa134-8073-4008-857e-1e7af14c8025 + 3fcf517e-9c6b-4624-83e8-160408322616 + 410fbe78-e4c8-4ab3-9799-5f0ac8902e43 + 442b5306-45a5-4e33-be1f-03bf1e89fed4 + 4710476b-4531-4445-a9b2-70c0b9f3fa2f + 473db0b1-10d8-4040-a526-399d9f58baaf + 4808db0d-9b51-40a6-b380-ea16aaf3ae33 + 48701b5c-4b17-4c54-8cf2-7e161ce1c8e2 + 48825b71-874a-4d24-ad7b-9b1a18ebfe3c + 491d8652-5283-47ac-ab66-cb33618dcecf + 49dd3ee7-6685-4fcd-bb1f-64761b3659fd + 4a03490b-c440-4b7a-8cbb-8929eafac5c8 + 4a342e86-413a-42f7-8cf3-f14c5914d2a3 + 4b6db127-f57c-48a0-a2e1-da259959c592 + 4c5841e8-bd25-463e-aaae-2223c5f59103 + 4d10248d-8521-4c52-a68c-d492ff9a0ac7 + 4d7dddb5-0d77-4cf8-865c-8307ec71d0e5 + 4dcbdac0-fbfc-4b40-ba4f-e83c1c1240b5 + 4eb2d425-dddf-4e7a-8c6b-67c23220f777 + 50292433-d400-4e9a-af58-3a7c25965b93 + 51ee8889-d2df-4ce5-b8f7-4dcb1499ab7a + 540b485b-92c9-4d69-a51b-d23623d30696 + 54543b98-19cb-4c79-a621-42ddbc746aff + 5550d5c8-ab12-4500-ac08-f8d69949de18 + 560005be-0955-4aa3-ad70-c6077b621408 + 56b75554-788e-4a08-a9d0-f0ea3a2619c7 + 580f9823-b026-451e-aaff-6a0296fcc342 + 58663f6c-36d6-4f4a-a77a-3c000a1b5cb0 + 590f4b4f-04a5-4a27-ba9f-ad1655576c47 + 592eded6-3801-45a2-b520-736b798c2ab4 + 594fa926-d6a8-464a-b29a-ea97e36c1b74 + 5a2171e6-0644-42a3-a111-ebf4ff3f6586 + 5a72dee1-0bf1-4b3d-8a34-c298efd75540 + 5a75c2d0-66d7-4af7-a916-0e2c66cac5c3 + 5b37c901-23e2-46bf-8f3d-637a77d1a8fa + 5c67470f-170a-45af-942d-b23133282f53 + 5c940ef8-a9b3-4c08-a22c-56a19c2d8ea4 + 5d6a0555-8e5a-4f35-a8e7-28f32301acaa + 5e238793-4733-4413-a91e-e150dff4d6d3 + 5e696324-8c4a-4b27-9df6-084edf8b502f + 60dfe55f-0a03-4f65-9527-48d5972c90af + 61604d5b-a5e5-4162-aea5-f3ffef43682e + 619bb647-e211-4fe5-be02-86789f8fd807 + 63494601-7a13-4c20-b039-5f5cd645d20c + 63d44b9a-0536-4fea-b5ac-dd5fbbe1746b + 64a63965-5565-4a88-a149-5e6c12a00494 + 64f7d855-2c7d-47b6-9bf3-0622f947d6c7 + 6577ab22-246c-44a8-8523-e1f54d6e230c + 67cdf48a-cd8f-47c3-aea9-a03fc45ffad0 + 697a5e20-13e7-4a0b-9377-e2f8f09fd1ae + 6a4c548b-8a8c-4ac6-ad2d-185d8abf340c + 6b4d2653-e72c-4f8a-8f4d-abe5b8189b21 + 6b8c3d97-d368-47e7-b19d-7485f5f6c019 + 6b971bee-1fbe-4b6c-9e01-e4f4bcd5716d + 6bc12a9f-fe27-483c-8ef3-337d0fd569df + 6bd80f86-68ee-40f6-9b4e-4d7f842d91a5 + 6be00514-c1f8-4271-835b-c7c34d6714f8 + 6c4cfc44-d378-4e30-930c-718b58f41642 + 6cc89134-3ccd-4dea-a772-612dc8be2064 + 70da2740-2587-4838-9c31-9da39980a877 + 7254ae99-45ff-461c-8018-b96564e7cdaa + 742bad2c-d05c-4f9c-923c-9ca756bebed9 + 74ed19ac-d85d-4d7c-8b06-066d3e35d510 + 765f0e72-6d2f-49cd-808d-3123203fb817 + 77b6b7a6-b303-433c-906d-59430e41fd2b + 782a4454-d274-4375-9af3-5bd74aed02b4 + 7871146a-9e1a-4be0-a829-f4846c3456ef + 7986079c-d558-4e3e-8cf7-e5fabf44eca5 + 7ac8ee86-7e7c-44b1-811a-1fce717eb9c2 + 7bfb3b9e-a076-4f4d-ad9c-2aaac6692a5e + 7e684921-d23c-46a2-adf1-80e048de655f + 7e7226ac-f372-430a-82f8-20f8f3f3b5e2 + 7ef5a1c3-ad17-4516-a9d1-a2650da68403 + 7f5981d1-eaba-4546-a6f9-54abbdbb2b34 + 7f73c23c-94f0-49b1-877c-204ea94c64e5 + 7f77ef37-6052-47ee-a89e-c90837f55ae0 + 7fae1caa-7679-4b2a-87c1-4b38c7cb7022 + 7fbc7ff4-555a-4ded-9ea6-5e1bba7727d8 + 805a8311-bdea-47d2-bfe1-f7f9f4c27057 + 817a1971-4c26-4a28-8ebf-815caf3c9be5 + 81d3363b-1f91-4f5b-87ba-f45fc74a6f30 + 81ec6363-c07a-4883-b7dc-b9810114e53f + 82ed2381-ea61-41cc-ae6b-62cfab658a21 + 839f23c6-b456-40a2-b195-b5692d40a648 + 842eb057-fc52-4bcf-966f-20912cbd9dfc + 85b99b7a-e50e-45e4-80b7-3d792b021138 + 85df875d-35ad-4cef-ae69-31d68e7cc143 + 871dd2d2-e4c8-4ba1-8b72-e1444a5f467a + 87d88032-3d84-4d09-8d5d-3c6f21fa0df0 + 87ecf7c0-ae9d-4fd8-ba6d-bb9fc60e1250 + 88ab56d5-ad4a-48b6-b7c7-2b2209a62fc0 + 8c8cb18d-20b2-4864-8a54-e741757b39e3 + 8da10350-e5c7-46f0-9cc9-2b32acdefc25 + 8dadf2e5-9c88-485e-9256-bfd48907a80b + 8e826b15-9c4b-4ba6-994f-0064e00d21d6 + 8eedf825-324e-4889-8c9e-3e211f188cff + 8f587da6-d1a3-4c3b-94ac-0814322db483 + 8fdd292b-dba4-483d-ba25-2338ca36b6dc + 903e2efd-5416-448b-8feb-4522cd81c882 + 958f752e-2b0a-4f65-a84b-1bddc7d5bf26 + 96812410-b64b-41ec-941f-85b838d3e3bf + 96e716b2-9c5a-44b4-95f2-770ae86a4485 + 9707f01a-b1a9-4584-ae9b-614415908ad4 + 973fa085-9d6f-4dd2-a02c-cc81bbc0d443 + 9869fc6b-b928-4061-ad67-013075a967f3 + 98fabc67-b39b-4c7d-af69-0a39105a490c + 998ea705-ab47-426c-98da-3140bec863f0 + 99bc885e-2f09-4a98-892a-df9e0b21889f + 9aceb3eb-bb0f-4e38-99ba-652969fcc701 + 9b6e2549-a22c-41fc-98a8-cd60104df20f + 9cefed33-3f30-42ff-b3db-817366549c07 + 9d0dc24e-945a-4c48-8120-e4e9447f1e5f + 9e8c6cf3-51ee-4d01-b08c-0800699784c7 + 9e91f17b-6e38-40af-861f-cf8b1755e3b5 + 9efbe9b7-d85d-4098-b44d-9c9f86abde2d + a0eb9961-9865-4a17-9934-fc115c308e91 + a2247731-de9c-423f-858f-afd9a191cdb2 + a3ca6d5d-3fc7-4760-8d97-bff411c784a8 + a4021fad-bade-4a8d-b684-7fefce3f652d + a43e3774-4b95-490f-a33d-cf368c0a3041 + a55393e6-3753-4b00-9c9f-85ae9c3df6bf + a5bc74a1-01d2-41d3-8b0c-85c8e682aa65 + a5e7d84e-d89d-48a7-b166-21348e29f0a0 + a5f025ca-f341-437b-88bc-8e6a2fa54c61 + a699edce-a210-4995-ba7a-cf5f4c46266e + a6de9363-7cd1-4949-bef2-71307629b1de + a8655f13-5aa9-42ff-af2d-ae2f9d878dfd + a8f4b8c5-ae50-4576-a063-d0e7cf0ac7c6 + a944f48a-de87-47b3-92f1-3e241a221577 + a992cca0-3b78-4755-b2a2-0774f5b9e8e4 + aa0aec55-e867-4857-9141-6f56c6688d97 + aa3352ab-a904-46e2-be23-51293edeecab + ab14a358-bcac-45cb-a78e-5a3555dd60bc + abaf5af0-12d5-4ed9-a38b-d9aa245ac21f + ac0edac0-ffa9-484d-b48d-17522f8c8d7f + ac759a64-430f-497e-b6c3-1830ce8262c5 + acb269c5-6383-47e8-b042-b3d394623776 + ad7d0186-8149-4ffe-9625-eeb8b420fbad + ad9f9542-b6bf-446d-b0eb-21996d2543aa + adae7806-a436-4f30-af73-fee5f0a966a4 + af19b7ba-3fb6-4176-95d2-028f35808729 + af986ed1-592a-436b-9781-cd6612da40ba + b09c60bc-6604-48c9-9826-a28e88ca459f + b2297098-9872-4091-b6a0-a3f67791865f + b317fab5-4270-46f9-b7a5-df4329a11853 + b4749fb6-fe77-4b14-97f3-e53e8d8fad7d + b5262998-c97b-404f-92e6-52d159bc55d3 + b52e700a-ad34-4175-b7cb-4d504a2feb27 + b6915279-b40a-4be5-b00b-61d63e26adfb + b776cab6-9321-46ca-8dae-054e84130dd5 + b7e6b017-5623-49bb-928e-aa81f00eeb5c + b9a4dacd-7994-4abd-8398-6a76176c49d8 + b9c4e409-7f38-4d1f-98e7-009db39898de + ba24f306-bf1d-4885-bc09-ffa24fecc3a7 + baa83fec-f43b-4ad4-b0e2-94515616e71c + bae18576-d275-461d-bffd-4357b0a9be23 + bbb13da1-0b22-4063-b785-49caa694df52 + bbd6a2db-cd48-4dc3-9fb5-f27bce5e6f7e + bbe4dcbd-f3fb-479d-976a-0bf348b42572 + bd00f3f2-dcd5-4708-bd5a-2c27c342a932 + bd50c42e-464a-4b71-8bf5-90c1b345dbe6 + bf464729-ca3b-42fb-8592-6717e213fc3e + bf720755-ed66-4cf6-800e-66a823e423f5 + c064c144-5c69-4c1c-a3ba-98935572816f + c0d1c470-bd77-451d-810e-a62c7408fc1f + c2e6d251-ccec-4a17-8ac6-80f9d84303ce + c4bff87f-2796-41a9-8ae6-8efc03d39e2a + c66750bf-9546-418d-ba09-97b7b85d5d0e + c6f6a95e-4aef-4089-ab27-101cd616a8c4 + c713635f-9b78-4e72-8136-13f8f406f04b + ca1d1d24-91ee-4981-a3fa-e3da07b5801f + ca3382ef-f972-4c6f-a316-39f1ccb2f7c5 + ca5921f0-3e45-4188-80ff-3c1436224676 + cc785d01-3b8d-4d78-acc3-6fee96eb18fb + cd54b30c-2240-4416-a98f-a525b96aca4e + ce310ffd-b423-4a57-a803-dbda3cb852d0 + cfb3c730-2d25-4ebe-9ec7-1d8fec67270e + d0f69ab0-add2-4576-a8db-5bad641674fe + d0f95eda-2e18-45bd-90e3-cac75b05e85c + d18b4f95-79c9-402b-94e4-91c508b602fc + d1cd4ed0-cd53-4894-acee-878cde2487d1 + d27f17d1-894a-4085-bae7-3bfb7de9612a + d43101cd-f99b-4139-bac0-d929888e83dd + d4fef8d0-e93e-409b-9471-a563cdfed8b2 + d5b228e5-f4d1-4b7f-b5e1-63f15c7f01d8 + d64e4e27-1fe0-4338-9ce0-e7e133e04ce0 + d743a509-14eb-4341-b326-c7b9da80b0c9 + d74dda98-1a53-4bcc-8771-291ad1ad604b + d811b46a-8842-4c30-9000-6a1cc97e81ac + d934141e-3d28-445a-a1aa-4e9615e22c1f + daeeaaef-30d4-4348-ba30-d5a6d01f57e4 + dafdeb29-c000-4d83-81b3-8cc81f5ac035 + db79cced-78cc-457f-a07a-92d561405eaa + db87a65f-cf81-497b-9881-e0c6c7446a25 + dc09b30f-189c-4eac-b4d9-237e2f9d786a + dc3bcf77-3c89-47e1-9dd9-0e2a62b61d33 + dfc9fa2e-4a48-4a6c-ab85-e879a452edfe + e01191a1-e39f-42d0-bbca-6ba57872f936 + e12b27d0-fd80-4698-8c26-b628dbf3f3df + e14ca3c4-8dd6-4f27-ae11-1dcff02ba36c + e224a669-15d6-469f-b1a1-2c7204d2cbeb + e2e37dbb-ac69-4248-8da5-15e9ee67d807 + e39fcda9-f018-4010-9d77-bdce498ed464 + e41c0623-f92a-4950-8a66-edd1088ba8b2 + e4cdc5e5-4166-403e-a3bb-c6a897ae147c + e50d4aea-52da-464b-ba33-f0a0761af120 + e7e68b32-b670-4270-8dcf-5b425c0a492c + e7ee5bf2-420f-44b2-9a72-5ff659d695c2 + e8284e07-70d6-4124-9ecb-ae45b3ec76ff + e897fc98-b6fa-4429-b909-8a688d60b075 + e9f1f9e8-20e6-4dc5-b13e-dcda89c1dbdd + eaba579d-d3ca-44d3-9e4f-e219e94f7f2c + eb3da681-77e2-4b0d-9c9b-df86cebe2b51 + ec337f88-04f1-4f56-94bb-8d82d0de0ebb + ec9e9900-bb2a-4d87-a244-a7e702172918 + eca210c2-c0b2-464c-b894-42f8fab7925e + eebf4069-f00a-4132-8ca8-3f910621e949 + eee3c7ee-b361-4421-9e41-2609ccee4ca8 + ef58705a-14e4-4d66-8bbf-ca43b2a20656 + f0051ce6-c427-4710-ab62-992d87f6cb28 + f08fdbdc-9d3b-4ec8-ac76-03040558dc6e + f1956ea8-3e04-4170-85a6-555520c69b5a + f2d74715-66c5-4757-b986-4fdeef599739 + f31139df-229e-4fb4-b99c-47b158b724c5 + f3930cbe-0fbc-4d5c-9e01-17f020a696c9 + f5252862-ddf5-473d-964c-62092deeae34 + f857f7f4-00f2-4ab8-bfcb-97cd837ab5eb + f91c43fa-7e61-490d-ab8f-8760ad1be3cc + f9d8a060-2bf7-459b-91e1-afd116cad3a0 + fa302cba-2771-4d57-9558-9b74845261b9 + fb21f8b2-2919-4f67-a818-c98837ea1103 + fc94810c-b913-4047-8741-fa17387da758 + ff1abfd2-1fb1-43f2-b080-c50f02dac8fa + ff5595a6-3573-443e-b6cb-a530d554dca2 + ff7620d1-4d8f-4b82-bcb7-b7a1e62e348d + ) + ) +) diff --git a/tracker-kicad/tracker-kicad.kicad_prl b/tracker-kicad/tracker-kicad.kicad_prl index 1c16edd..c9f44c4 100644 --- a/tracker-kicad/tracker-kicad.kicad_prl +++ b/tracker-kicad/tracker-kicad.kicad_prl @@ -1,10 +1,12 @@ { "board": { - "active_layer": 0, + "active_layer": 31, "active_layer_preset": "", "auto_track_width": true, "hidden_netclasses": [], - "hidden_nets": [], + "hidden_nets": [ + "GND" + ], "high_contrast_mode": 0, "net_color_mode": 1, "opacity": { @@ -64,7 +66,7 @@ 39, 40 ], - "visible_layers": "fffffff_ffffffff", + "visible_layers": "ffcffa0_ffffffff", "zone_display_mode": 0 }, "meta": { diff --git a/tracker-kicad/tracker-kicad.kicad_pro b/tracker-kicad/tracker-kicad.kicad_pro index a15e4a1..1387716 100644 --- a/tracker-kicad/tracker-kicad.kicad_pro +++ b/tracker-kicad/tracker-kicad.kicad_pro @@ -3,26 +3,188 @@ "3dviewports": [], "design_settings": { "defaults": { - "board_outline_line_width": 0.1, - "copper_line_width": 0.2, + "board_outline_line_width": 0.09999999999999999, + "copper_line_width": 0.19999999999999998, + "copper_text_italic": false, "copper_text_size_h": 1.5, "copper_text_size_v": 1.5, "copper_text_thickness": 0.3, + "copper_text_upright": false, + "courtyard_line_width": 0.049999999999999996, + "dimension_precision": 4, + "dimension_units": 3, + "dimensions": { + "arrow_length": 1270000, + "extension_offset": 500000, + "keep_text_aligned": true, + "suppress_zeroes": false, + "text_position": 0, + "units_format": 1 + }, + "fab_line_width": 0.09999999999999999, + "fab_text_italic": false, + "fab_text_size_h": 1.0, + "fab_text_size_v": 1.0, + "fab_text_thickness": 0.15, + "fab_text_upright": false, "other_line_width": 0.15, + "other_text_italic": false, + "other_text_size_h": 1.0, + "other_text_size_v": 1.0, + "other_text_thickness": 0.15, + "other_text_upright": false, + "pads": { + "drill": 0.762, + "height": 1.524, + "width": 1.524 + }, "silk_line_width": 0.15, - "silk_text_size_h": 1.0, - "silk_text_size_v": 1.0, - "silk_text_thickness": 0.15 + "silk_text_italic": false, + "silk_text_size_h": 0.7, + "silk_text_size_v": 0.7, + "silk_text_thickness": 0.09999999999999999, + "silk_text_upright": false, + "zones": { + "min_clearance": 0.0 + } }, - "diff_pair_dimensions": [], + "diff_pair_dimensions": [ + { + "gap": 0.0, + "via_gap": 0.0, + "width": 0.0 + } + ], "drc_exclusions": [], + "meta": { + "version": 2 + }, + "rule_severities": { + "annular_width": "error", + "clearance": "error", + "connection_width": "warning", + "copper_edge_clearance": "error", + "copper_sliver": "warning", + "courtyards_overlap": "error", + "diff_pair_gap_out_of_range": "error", + "diff_pair_uncoupled_length_too_long": "error", + "drill_out_of_range": "error", + "duplicate_footprints": "warning", + "extra_footprint": "warning", + "footprint": "error", + "footprint_type_mismatch": "ignore", + "hole_clearance": "error", + "hole_near_hole": "error", + "invalid_outline": "error", + "isolated_copper": "warning", + "item_on_disabled_layer": "error", + "items_not_allowed": "error", + "length_out_of_range": "error", + "lib_footprint_issues": "warning", + "lib_footprint_mismatch": "warning", + "malformed_courtyard": "error", + "microvia_drill_out_of_range": "error", + "missing_courtyard": "ignore", + "missing_footprint": "warning", + "net_conflict": "warning", + "npth_inside_courtyard": "ignore", + "padstack": "warning", + "pth_inside_courtyard": "ignore", + "shorting_items": "error", + "silk_edge_clearance": "warning", + "silk_over_copper": "warning", + "silk_overlap": "warning", + "skew_out_of_range": "error", + "solder_mask_bridge": "error", + "starved_thermal": "error", + "text_height": "warning", + "text_thickness": "warning", + "through_hole_pad_without_hole": "error", + "too_many_vias": "error", + "track_dangling": "warning", + "track_width": "error", + "tracks_crossing": "error", + "unconnected_items": "error", + "unresolved_variable": "error", + "via_dangling": "warning", + "zones_intersect": "error" + }, "rules": { + "max_error": 0.005, + "min_clearance": 0.0, + "min_connection": 0.0, "min_copper_edge_clearance": 0.0, + "min_hole_clearance": 0.25, + "min_hole_to_hole": 0.25, + "min_microvia_diameter": 0.19999999999999998, + "min_microvia_drill": 0.09999999999999999, + "min_resolved_spokes": 2, + "min_silk_clearance": 0.0, + "min_text_height": 0.7999999999999999, + "min_text_thickness": 0.08, + "min_through_hole_diameter": 0.3, + "min_track_width": 0.0, + "min_via_annular_width": 0.09999999999999999, + "min_via_diameter": 0.5, "solder_mask_clearance": 0.0, - "solder_mask_min_width": 0.0 + "solder_mask_min_width": 0.0, + "solder_mask_to_copper_clearance": 0.0, + "use_height_for_length_calcs": true }, - "track_widths": [], - "via_dimensions": [] + "teardrop_options": [ + { + "td_allow_use_two_tracks": true, + "td_curve_segcount": 5, + "td_on_pad_in_zone": false, + "td_onpadsmd": true, + "td_onroundshapesonly": false, + "td_ontrackend": false, + "td_onviapad": true + } + ], + "teardrop_parameters": [ + { + "td_curve_segcount": 0, + "td_height_ratio": 1.0, + "td_length_ratio": 0.5, + "td_maxheight": 2.0, + "td_maxlen": 1.0, + "td_target_name": "td_round_shape", + "td_width_to_size_filter_ratio": 0.9 + }, + { + "td_curve_segcount": 0, + "td_height_ratio": 1.0, + "td_length_ratio": 0.5, + "td_maxheight": 2.0, + "td_maxlen": 1.0, + "td_target_name": "td_rect_shape", + "td_width_to_size_filter_ratio": 0.9 + }, + { + "td_curve_segcount": 0, + "td_height_ratio": 1.0, + "td_length_ratio": 0.5, + "td_maxheight": 2.0, + "td_maxlen": 1.0, + "td_target_name": "td_track_end", + "td_width_to_size_filter_ratio": 0.9 + } + ], + "track_widths": [ + 0.0, + 0.25, + 0.8, + 1.2, + 1.6 + ], + "via_dimensions": [ + { + "diameter": 0.0, + "drill": 0.0 + } + ], + "zones_allow_external_fillets": false }, "layer_presets": [], "viewports": [] @@ -232,10 +394,10 @@ "no_connect_dangling": "warning", "pin_not_connected": "error", "pin_not_driven": "error", - "pin_to_pin": "warning", + "pin_to_pin": "error", "power_pin_not_driven": "error", "similar_labels": "warning", - "simulation_model_issue": "error", + "simulation_model_issue": "ignore", "unannotated": "error", "unit_value_mismatch": "error", "unresolved_variable": "error", @@ -268,13 +430,35 @@ "via_diameter": 0.8, "via_drill": 0.4, "wire_width": 6 + }, + { + "bus_width": 12, + "clearance": 1.0, + "diff_pair_gap": 0.25, + "diff_pair_via_gap": 0.25, + "diff_pair_width": 0.2, + "line_style": 0, + "microvia_diameter": 0.3, + "microvia_drill": 0.1, + "name": "1mm Clearance", + "pcb_color": "rgba(0, 0, 0, 0.000)", + "schematic_color": "rgba(0, 0, 0, 0.000)", + "track_width": 0.25, + "via_diameter": 0.8, + "via_drill": 0.4, + "wire_width": 6 } ], "meta": { "version": 3 }, "net_colors": null, - "netclass_assignments": null, + "netclass_assignments": { + "/BAT+": "Large Clearance", + "Net-(D2-A)": "Large Clearance", + "Net-(D4-K)": "Large Clearance", + "Net-(D6-A)": "Large Clearance" + }, "netclass_patterns": [] }, "pcbnew": { @@ -313,7 +497,7 @@ }, "net_format_name": "", "page_layout_descr_file": "", - "plot_directory": "", + "plot_directory": "out", "spice_current_sheet_as_root": false, "spice_external_command": "spice \"%I\"", "spice_model_current_sheet_as_root": true, diff --git a/tracker-kicad/tracker-kicad.kicad_sch b/tracker-kicad/tracker-kicad.kicad_sch index 1dc9296..b0b1bd8 100644 --- a/tracker-kicad/tracker-kicad.kicad_sch +++ b/tracker-kicad/tracker-kicad.kicad_sch @@ -4,6 +4,14 @@ (paper "A4") + (title_block + (title "Hercules") + (date "2023-07-06") + (rev "0") + (company "HB9EGM") + (comment 1 "LoRa GNSS Tracker with PA") + ) + (lib_symbols (symbol "BAT18_3" (pin_numbers hide) (pin_names (offset 0) hide) (in_bom yes) (on_board yes) (property "Reference" "D" (at 0 2.54 0) @@ -855,6 +863,12 @@ (property "Datasheet" "https://www.vishay.com/docs/85748/1n4148w.pdf" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) + (property "Sim.Device" "D" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Sim.Pins" "1=K 2=A" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) (property "ki_keywords" "diode" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) @@ -903,6 +917,49 @@ ) ) ) + (symbol "LD1117S33TR_SOT223_1" (in_bom yes) (on_board yes) + (property "Reference" "U" (at -3.81 3.175 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "LD1117S33TR_SOT223" (at 0 3.175 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-223-3_TabPin2" (at 0 5.08 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://www.st.com/st-web-ui/static/active/en/resource/technical/document/datasheet/CD00000544.pdf" (at 2.54 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "REGULATOR LDO 3.3V" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "800mA Fixed Low Drop Positive Voltage Regulator, Fixed Output 3.3V, SOT-223" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "SOT?223*TabPin2*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "LD1117S33TR_SOT223_1_0_1" + (rectangle (start -5.08 -5.08) (end 5.08 1.905) + (stroke (width 0.254) (type default)) + (fill (type background)) + ) + ) + (symbol "LD1117S33TR_SOT223_1_1_1" + (pin power_in line (at 0 -7.62 90) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at 7.62 0 180) (length 2.54) + (name "VO" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -7.62 0 0) (length 2.54) + (name "VI" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + ) + ) (symbol "Mechanical:MountingHole_Pad" (pin_numbers hide) (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) (property "Reference" "H" (at 0 6.35 0) (effects (font (size 1.27 1.27))) @@ -1653,7 +1710,7 @@ (junction (at 148.59 73.66) (diameter 0) (color 0 0 0 0) (uuid 0b5d656a-a5d3-46b8-b83b-37aa40cef20d) ) - (junction (at 199.39 46.99) (diameter 0) (color 0 0 0 0) + (junction (at 199.39 41.91) (diameter 0) (color 0 0 0 0) (uuid 0d2da9f7-50de-4ddd-9218-f5a19846c5c2) ) (junction (at 199.39 59.69) (diameter 0) (color 0 0 0 0) @@ -1707,6 +1764,9 @@ (junction (at 161.29 44.45) (diameter 0) (color 0 0 0 0) (uuid 4eb05e56-f285-4338-a8b9-2cf706f45ddf) ) + (junction (at 93.98 27.94) (diameter 0) (color 0 0 0 0) + (uuid 4f9b3345-66f0-47d6-88d7-9b9886c95e10) + ) (junction (at 240.03 43.18) (diameter 0) (color 0 0 0 0) (uuid 5620fc3f-17b5-4ec3-92b1-b159783281da) ) @@ -1722,7 +1782,7 @@ (junction (at 165.1 73.66) (diameter 0) (color 0 0 0 0) (uuid 7c234418-db22-4543-ade4-958e4b9645d4) ) - (junction (at 199.39 26.67) (diameter 0) (color 0 0 0 0) + (junction (at 199.39 21.59) (diameter 0) (color 0 0 0 0) (uuid 7e155455-b3de-4fb6-9044-8c7913eb9614) ) (junction (at 182.88 45.72) (diameter 0) (color 0 0 0 0) @@ -1752,13 +1812,16 @@ (junction (at 248.92 22.86) (diameter 0) (color 0 0 0 0) (uuid 99123259-1f7f-4010-848e-12254a7e90ff) ) + (junction (at 93.98 40.64) (diameter 0) (color 0 0 0 0) + (uuid 9fc224a3-a17f-40f0-907a-1f8edffd9819) + ) (junction (at 125.73 123.19) (diameter 0) (color 0 0 0 0) (uuid a6d97fbb-c949-46fb-addd-3c7f0ad97be8) ) (junction (at 275.59 59.69) (diameter 0) (color 0 0 0 0) (uuid a812e7c8-9e44-4822-ac23-2bf4f621fa0a) ) - (junction (at 199.39 36.83) (diameter 0) (color 0 0 0 0) + (junction (at 199.39 31.75) (diameter 0) (color 0 0 0 0) (uuid a909ff62-3061-4ba6-822a-4d4dbb8eb969) ) (junction (at 128.27 27.94) (diameter 0) (color 0 0 0 0) @@ -1791,6 +1854,9 @@ (junction (at 240.03 30.48) (diameter 0) (color 0 0 0 0) (uuid f1821e80-8fb5-46f8-a312-be5e85057f6b) ) + (junction (at 69.85 44.45) (diameter 0) (color 0 0 0 0) + (uuid f6e7b4ea-4103-4342-9b37-cd0efb84e10c) + ) (junction (at 120.65 123.19) (diameter 0) (color 0 0 0 0) (uuid fa2db506-a9d6-4bb8-b038-b63acb0e2a1e) ) @@ -1824,6 +1890,10 @@ (stroke (width 0) (type default)) (uuid 050b9099-2197-4a76-82ec-be1e23721b1e) ) + (wire (pts (xy 199.39 48.26) (xy 199.39 49.53)) + (stroke (width 0) (type default)) + (uuid 0672fc79-4284-450b-83d2-e9d1cfed2554) + ) (wire (pts (xy 177.8 33.02) (xy 182.88 33.02)) (stroke (width 0) (type default)) (uuid 06f9b752-04af-4c3b-8e85-54a30dc68e65) @@ -1896,6 +1966,10 @@ (stroke (width 0) (type default)) (uuid 17a59fd4-e281-461e-b94c-ad6c2f3d7c7d) ) + (wire (pts (xy 223.52 24.13) (xy 223.52 22.86)) + (stroke (width 0) (type default)) + (uuid 17bdef2a-ead4-4f9b-bcb6-c2f9aa551cce) + ) (wire (pts (xy 191.77 58.42) (xy 182.88 58.42)) (stroke (width 0) (type default)) (uuid 1bbfd4f1-d30a-4e5f-ba17-f7ae46d42f69) @@ -1940,7 +2014,7 @@ (stroke (width 0) (type default)) (uuid 259f0224-4e1a-45a9-a9cb-b1e92a4c616c) ) - (wire (pts (xy 198.12 26.67) (xy 199.39 26.67)) + (wire (pts (xy 198.12 21.59) (xy 199.39 21.59)) (stroke (width 0) (type default)) (uuid 26480fb4-0bf7-4400-87d7-ae9d4bb9015a) ) @@ -2008,7 +2082,7 @@ (stroke (width 0) (type default)) (uuid 43101aa4-4a39-4b0a-8a0b-df2d7939c940) ) - (wire (pts (xy 90.17 144.78) (xy 90.17 95.25)) + (wire (pts (xy 90.17 144.78) (xy 90.17 101.6)) (stroke (width 0) (type default)) (uuid 450728fc-9171-4b12-98f2-1c34201af64c) ) @@ -2024,6 +2098,10 @@ (stroke (width 0) (type default)) (uuid 4a860b28-7b04-4523-bb2a-c9361a03c216) ) + (wire (pts (xy 69.85 41.91) (xy 69.85 44.45)) + (stroke (width 0) (type default)) + (uuid 4b643638-c2e9-4f5f-a702-5162d3d65a3a) + ) (wire (pts (xy 218.44 67.31) (xy 228.6 67.31)) (stroke (width 0) (type default)) (uuid 4ce6c40c-af77-4ba7-8b76-4a2802d0d382) @@ -2104,7 +2182,7 @@ (stroke (width 0) (type default)) (uuid 656611ac-5824-4249-9032-638e264587c0) ) - (wire (pts (xy 88.9 97.79) (xy 88.9 147.32)) + (wire (pts (xy 88.9 104.14) (xy 88.9 147.32)) (stroke (width 0) (type default)) (uuid 6a781113-9d2d-4bfb-a51a-e04a8d169b4b) ) @@ -2136,7 +2214,7 @@ (stroke (width 0) (type default)) (uuid 74040277-78c2-4b7c-a9ba-5820f4215646) ) - (wire (pts (xy 97.79 27.94) (xy 92.71 27.94)) + (wire (pts (xy 97.79 27.94) (xy 93.98 27.94)) (stroke (width 0) (type default)) (uuid 79935a3f-c588-4fed-b218-d55cda35743b) ) @@ -2152,15 +2230,23 @@ (stroke (width 0) (type default)) (uuid 7b61c91a-b1f9-43e8-a3a8-ab4a07ffff41) ) + (wire (pts (xy 223.52 22.86) (xy 232.41 22.86)) + (stroke (width 0) (type default)) + (uuid 7c73ee48-9cb5-4c97-8c82-e719cad636f0) + ) (wire (pts (xy 78.74 31.75) (xy 78.74 30.48)) (stroke (width 0) (type default)) (uuid 7f6f649a-4a03-4a23-ab1b-67399f718f9c) ) + (wire (pts (xy 90.17 69.85) (xy 90.17 40.64)) + (stroke (width 0) (type default)) + (uuid 7ff0fa4d-b630-4391-a4f2-27b2b34a92ad) + ) (wire (pts (xy 156.21 73.66) (xy 157.48 73.66)) (stroke (width 0) (type default)) (uuid 80766587-a358-40b1-9754-9c7fc48094e0) ) - (wire (pts (xy 199.39 46.99) (xy 199.39 36.83)) + (wire (pts (xy 199.39 41.91) (xy 199.39 31.75)) (stroke (width 0) (type default)) (uuid 80fc62fa-b1c7-4b3a-8610-6b5c5d283bd2) ) @@ -2176,7 +2262,7 @@ (stroke (width 0) (type default)) (uuid 84bfdbf4-fe05-4d2e-902b-5a16108325ff) ) - (wire (pts (xy 86.36 97.79) (xy 88.9 97.79)) + (wire (pts (xy 86.36 104.14) (xy 88.9 104.14)) (stroke (width 0) (type default)) (uuid 855844ed-3d91-47c1-9b9a-c0532a457049) ) @@ -2212,6 +2298,10 @@ (stroke (width 0) (type default)) (uuid 8bc5cdcc-f587-4379-978f-98e53416638c) ) + (wire (pts (xy 93.98 27.94) (xy 93.98 33.02)) + (stroke (width 0) (type default)) + (uuid 8f1e1eab-32de-4e34-8707-8e54f43d6ff3) + ) (wire (pts (xy 104.14 34.29) (xy 104.14 35.56)) (stroke (width 0) (type default)) (uuid 90137715-abf5-45c2-9e33-f1303e0f698c) @@ -2228,11 +2318,11 @@ (stroke (width 0) (type default)) (uuid 91fedeb5-872b-437a-b627-83ac39895d56) ) - (wire (pts (xy 77.47 20.32) (xy 78.74 20.32)) + (wire (pts (xy 69.85 20.32) (xy 78.74 20.32)) (stroke (width 0) (type default)) (uuid 93bb4a60-3587-4888-91a3-036ac4a96fc7) ) - (wire (pts (xy 77.47 27.94) (xy 80.01 27.94)) + (wire (pts (xy 69.85 27.94) (xy 80.01 27.94)) (stroke (width 0) (type default)) (uuid 94f3eca5-cb19-4ee6-a17e-a1179f72e20f) ) @@ -2268,7 +2358,7 @@ (stroke (width 0) (type default)) (uuid a5c25f64-130d-4f36-ab17-757cdde9d04c) ) - (wire (pts (xy 198.12 46.99) (xy 199.39 46.99)) + (wire (pts (xy 198.12 41.91) (xy 199.39 41.91)) (stroke (width 0) (type default)) (uuid a6a9abe9-e329-4e69-9927-7ed1cb3f57af) ) @@ -2288,6 +2378,10 @@ (stroke (width 0) (type default)) (uuid aeb52d83-3c75-462f-900c-2fec9958e77e) ) + (wire (pts (xy 86.36 69.85) (xy 90.17 69.85)) + (stroke (width 0) (type default)) + (uuid b056bd93-8ad4-4cdc-91c6-c009dee87c95) + ) (wire (pts (xy 252.73 91.44) (xy 250.19 91.44)) (stroke (width 0) (type default)) (uuid b0950230-6dd6-4ba0-9677-5e90e701c1b2) @@ -2328,7 +2422,7 @@ (stroke (width 0) (type default)) (uuid bf7b1b9e-317d-4abe-af48-23bde7c94582) ) - (wire (pts (xy 199.39 49.53) (xy 199.39 46.99)) + (wire (pts (xy 199.39 43.18) (xy 199.39 41.91)) (stroke (width 0) (type default)) (uuid c01eb202-774e-4322-a137-333e105872d2) ) @@ -2352,7 +2446,7 @@ (stroke (width 0) (type default)) (uuid c6129dab-a478-4966-ab18-006855f04b95) ) - (wire (pts (xy 77.47 17.78) (xy 80.01 17.78)) + (wire (pts (xy 69.85 17.78) (xy 80.01 17.78)) (stroke (width 0) (type default)) (uuid c6fecc98-f627-45a7-adef-84f19d151727) ) @@ -2368,7 +2462,7 @@ (stroke (width 0) (type default)) (uuid c80a0d3c-9427-4469-9699-09c30a8c784f) ) - (wire (pts (xy 199.39 36.83) (xy 199.39 26.67)) + (wire (pts (xy 199.39 31.75) (xy 199.39 21.59)) (stroke (width 0) (type default)) (uuid c82599af-91b7-4399-9ac5-7d26bf68c2fd) ) @@ -2388,11 +2482,11 @@ (stroke (width 0) (type default)) (uuid cdeff7d5-b776-492b-9268-cce7eb475ee3) ) - (wire (pts (xy 199.39 36.83) (xy 198.12 36.83)) + (wire (pts (xy 199.39 31.75) (xy 198.12 31.75)) (stroke (width 0) (type default)) (uuid ce0b38c5-4510-42df-9145-aec0285a68e4) ) - (wire (pts (xy 78.74 30.48) (xy 77.47 30.48)) + (wire (pts (xy 78.74 30.48) (xy 69.85 30.48)) (stroke (width 0) (type default)) (uuid d0697e7c-7846-4c11-ae8b-4b22fdb5704f) ) @@ -2452,6 +2546,10 @@ (stroke (width 0) (type default)) (uuid e83e2776-02c9-4964-843f-4433a1387642) ) + (wire (pts (xy 93.98 27.94) (xy 92.71 27.94)) + (stroke (width 0) (type default)) + (uuid e8803aa2-fab9-4337-bf86-05f5d7c92d57) + ) (wire (pts (xy 86.36 73.66) (xy 99.06 73.66)) (stroke (width 0) (type default)) (uuid e91c01b2-55c8-4d27-88bf-c7bae4c7b162) @@ -2460,11 +2558,15 @@ (stroke (width 0) (type default)) (uuid e96ee23e-6411-47b8-89fa-35b3e0b900f7) ) + (wire (pts (xy 90.17 40.64) (xy 93.98 40.64)) + (stroke (width 0) (type default)) + (uuid ea731349-70a4-4adb-bebd-ad52cf3fd619) + ) (wire (pts (xy 182.88 54.61) (xy 182.88 58.42)) (stroke (width 0) (type default)) (uuid ebb976ef-e772-4dd4-aa72-b73a76aa22b1) ) - (wire (pts (xy 199.39 25.4) (xy 199.39 26.67)) + (wire (pts (xy 199.39 20.32) (xy 199.39 21.59)) (stroke (width 0) (type default)) (uuid ee191de8-944a-4fb6-af83-b461cb4a9f6e) ) @@ -2496,7 +2598,7 @@ (stroke (width 0) (type default)) (uuid fb4f36ff-ae48-4d12-b668-8887b1f17343) ) - (wire (pts (xy 90.17 95.25) (xy 86.36 95.25)) + (wire (pts (xy 90.17 101.6) (xy 86.36 101.6)) (stroke (width 0) (type default)) (uuid fc3463f2-0134-4214-8d05-db24a88504b4) ) @@ -2553,11 +2655,15 @@ (uuid d4eff7d5-ba9d-4444-bd75-9a5f09c3f62a) ) + (label "EN_RX" (at 86.36 93.98 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 0aedb347-e798-4090-aadf-d042bf9c1eb1) + ) (label "PIN_RX" (at 267.97 80.01 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 0c6d5580-6006-493b-843c-14fdd72b659d) ) - (label "EN_PA" (at 86.36 69.85 0) (fields_autoplaced) + (label "EN_PA" (at 86.36 91.44 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 101a057e-1b40-4287-aaa2-dc92cd53de08) ) @@ -2589,11 +2695,44 @@ (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid c10d6889-71f4-4c83-9cee-efe8f3c8708e) ) + (label "BAT+" (at 69.85 27.94 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid eeb7040a-2b47-40e8-8d10-a2d801dbc647) + ) (label "EN_RX" (at 243.84 104.14 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid f3f003f5-f301-47aa-aad2-5578595f483a) ) + (netclass_flag "" (length 2.54) (shape round) (at 210.82 88.9 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 454c1daa-280e-46e5-a63a-54ac374c1fa3) + (property "Netclass" "Large Clearance" (at 211.5185 86.36 0) + (effects (font (size 1.27 1.27) italic) (justify left)) + ) + ) + (netclass_flag "" (length 2.54) (shape round) (at 240.03 69.85 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 4c5c43ea-974f-48da-9789-7a6cbdabee6d) + (property "Netclass" "Large Clearance" (at 240.7285 67.31 0) + (effects (font (size 1.27 1.27) italic) (justify left)) + ) + ) + (netclass_flag "" (length 2.54) (shape round) (at 163.83 88.9 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 8206a780-bb6e-451e-8a29-b0d0e045222c) + (property "Netclass" "Large Clearance" (at 164.5285 86.36 0) + (effects (font (size 1.27 1.27) italic) (justify left)) + ) + ) + (netclass_flag "" (length 2.54) (shape round) (at 71.12 17.78 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid d1ae269b-4763-4f82-b075-acc7218040f7) + (property "Netclass" "Large Clearance" (at 71.8185 15.24 0) + (effects (font (size 1.27 1.27) italic) (justify left)) + ) + ) + (symbol (lib_id "power:GND") (at 134.62 64.77 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid 016048a2-5a5f-4e99-ab19-0bc00cc9f3c2) @@ -2621,7 +2760,7 @@ (reference "#PWR?") (unit 1) ) (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "#PWR055") (unit 1) + (reference "#PWR016") (unit 1) ) ) ) @@ -2630,7 +2769,7 @@ (symbol (lib_id "Device:R") (at 250.19 95.25 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid 027be28d-463c-47dc-8c32-bfed680029d4) - (property "Reference" "R22" (at 247.65 93.9799 0) + (property "Reference" "R?" (at 247.65 93.9799 0) (effects (font (size 1.27 1.27)) (justify right)) ) (property "Value" "12k" (at 247.65 96.5199 0) @@ -2650,12 +2789,12 @@ (instances (project "kicad-dart-70" (path "/7c83c304-769a-4be4-890e-297aba22b5b9" - (reference "R22") (unit 1) + (reference "R?") (unit 1) ) ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "R14") (unit 1) + (reference "R20") (unit 1) ) ) ) @@ -2688,7 +2827,7 @@ (reference "#PWR?") (unit 1) ) (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "#PWR034") (unit 1) + (reference "#PWR045") (unit 1) ) ) ) @@ -2721,7 +2860,36 @@ (reference "#PWR?") (unit 1) ) (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "#PWR025") (unit 1) + (reference "#PWR036") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:L_Small") (at 199.39 52.07 180) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 04725310-369e-494d-8370-59b2c1e135fd) + (property "Reference" "L15" (at 200.66 51.435 0) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Value" "wire" (at 200.66 53.975 0) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "mpb:two_2mm_pads" (at 199.39 52.07 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 199.39 52.07 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "MPN" "" (at 199.39 52.07 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 1740e596-0782-402d-8fd5-89ec79abf924)) + (pin "2" (uuid fc9e30e3-1218-4ab6-92e1-95bde3ffee33)) + (instances + (project "tracker-kicad" + (path "/c7284140-20d8-41a9-bb44-23c19c814c53" + (reference "L15") (unit 1) ) ) ) @@ -2761,7 +2929,7 @@ (reference "C?") (unit 1) ) (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "C12") (unit 1) + (reference "C23") (unit 1) ) ) ) @@ -2792,25 +2960,25 @@ ) ) - (symbol (lib_id "Device:C_Small") (at 195.58 46.99 270) (unit 1) + (symbol (lib_id "Device:C_Small") (at 195.58 41.91 270) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid 08217406-5247-4165-835b-ade61a9c9271) - (property "Reference" "C?" (at 195.58 40.64 90) + (property "Reference" "C?" (at 195.58 35.56 90) (effects (font (size 1.27 1.27))) ) - (property "Value" "470pF" (at 195.58 43.18 90) + (property "Value" "470pF" (at 195.58 38.1 90) (effects (font (size 1.27 1.27))) ) - (property "Footprint" "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" (at 191.77 47.9552 0) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" (at 191.77 42.8752 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 195.58 46.99 0) + (property "Datasheet" "~" (at 195.58 41.91 0) (effects (font (size 1.27 1.27)) hide) ) - (property "MPN" "08051A471GAT2A" (at 195.58 46.99 0) + (property "MPN" "08051A471GAT2A" (at 195.58 41.91 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Need_order" "0" (at 195.58 46.99 0) + (property "Need_order" "0" (at 195.58 41.91 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 121a2c56-d055-4f38-bcee-5ed98f82133e)) @@ -2826,7 +2994,7 @@ (reference "C?") (unit 1) ) (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "C14") (unit 1) + (reference "C19") (unit 1) ) ) ) @@ -2835,7 +3003,7 @@ (symbol (lib_id "Device:R") (at 173.99 40.64 180) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid 094e055d-3b05-4822-82b5-18549f8ab7d7) - (property "Reference" "R170" (at 176.53 40.005 0) + (property "Reference" "R?" (at 176.53 40.005 0) (effects (font (size 1.27 1.27)) (justify right)) ) (property "Value" "1k" (at 176.53 42.545 0) @@ -2855,12 +3023,12 @@ (instances (project "kicad-dart-70" (path "/7c83c304-769a-4be4-890e-297aba22b5b9/2eb25ee2-d512-42fe-80f1-00400ed0a45e" - (reference "R170") (unit 1) + (reference "R?") (unit 1) ) ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "R21") (unit 1) + (reference "R10") (unit 1) ) ) ) @@ -2933,7 +3101,7 @@ (reference "#PWR?") (unit 1) ) (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "#PWR031") (unit 1) + (reference "#PWR05") (unit 1) ) ) ) @@ -2966,7 +3134,7 @@ (reference "#PWR?") (unit 1) ) (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "#PWR050") (unit 1) + (reference "#PWR041") (unit 1) ) ) ) @@ -3006,7 +3174,7 @@ (reference "C?") (unit 1) ) (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "C10") (unit 1) + (reference "C20") (unit 1) ) ) ) @@ -3039,7 +3207,7 @@ (reference "#PWR?") (unit 1) ) (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "#PWR024") (unit 1) + (reference "#PWR04") (unit 1) ) ) ) @@ -3072,7 +3240,7 @@ (reference "#PWR?") (unit 1) ) (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "#PWR019") (unit 1) + (reference "#PWR026") (unit 1) ) ) ) @@ -3105,7 +3273,7 @@ (reference "#PWR?") (unit 1) ) (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "#PWR035") (unit 1) + (reference "#PWR044") (unit 1) ) ) ) @@ -3145,7 +3313,7 @@ (reference "C?") (unit 1) ) (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "C20") (unit 1) + (reference "C6") (unit 1) ) ) ) @@ -3154,7 +3322,7 @@ (symbol (lib_id "power:GND") (at 118.11 35.56 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 1224553c-1392-4b49-ad6e-a87f278d7e8e) - (property "Reference" "#PWR026" (at 118.11 41.91 0) + (property "Reference" "#PWR?" (at 118.11 41.91 0) (effects (font (size 1.27 1.27)) hide) ) (property "Value" "GND" (at 118.237 39.9542 0) @@ -3170,12 +3338,12 @@ (instances (project "kicad-dart-70" (path "/7c83c304-769a-4be4-890e-297aba22b5b9" - (reference "#PWR026") (unit 1) + (reference "#PWR?") (unit 1) ) ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "#PWR032") (unit 1) + (reference "#PWR011") (unit 1) ) ) ) @@ -3184,7 +3352,7 @@ (symbol (lib_id "power:+BATT") (at 250.19 88.9 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid 1288a402-8661-44da-ab09-2b410ac1e09a) - (property "Reference" "#PWR038" (at 250.19 92.71 0) + (property "Reference" "#PWR040" (at 250.19 92.71 0) (effects (font (size 1.27 1.27)) hide) ) (property "Value" "+BATT" (at 250.19 85.09 0) @@ -3200,7 +3368,7 @@ (instances (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "#PWR038") (unit 1) + (reference "#PWR040") (unit 1) ) ) ) @@ -3209,7 +3377,7 @@ (symbol (lib_id "Regulator_Linear:LD1117S33TR_SOT223") (at 161.29 21.59 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 12ecb52b-a1ae-4653-90e2-fac680c6c886) - (property "Reference" "U1" (at 161.29 15.4432 0) + (property "Reference" "U?" (at 161.29 15.4432 0) (effects (font (size 1.27 1.27))) ) (property "Value" "LD1117S33" (at 161.29 17.7546 0) @@ -3233,12 +3401,12 @@ (instances (project "kicad-dart-70" (path "/7c83c304-769a-4be4-890e-297aba22b5b9" - (reference "U1") (unit 1) + (reference "U?") (unit 1) ) ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "U6") (unit 1) + (reference "U4") (unit 1) ) ) ) @@ -3247,7 +3415,7 @@ (symbol (lib_id "power:GND") (at 257.81 111.76 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid 13247018-bfe8-45a6-9355-20c1296c9751) - (property "Reference" "#PWR059" (at 257.81 118.11 0) + (property "Reference" "#PWR?" (at 257.81 118.11 0) (effects (font (size 1.27 1.27)) hide) ) (property "Value" "GND" (at 257.937 114.9858 90) @@ -3263,12 +3431,12 @@ (instances (project "kicad-dart-70" (path "/7c83c304-769a-4be4-890e-297aba22b5b9" - (reference "#PWR059") (unit 1) + (reference "#PWR?") (unit 1) ) ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "#PWR039") (unit 1) + (reference "#PWR042") (unit 1) ) ) ) @@ -3277,7 +3445,7 @@ (symbol (lib_id "Device:C_Polarized_Small") (at 129.54 31.75 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 14aa2ccb-c102-40c9-98d7-0bf15286aa4a) - (property "Reference" "C10" (at 132.461 30.5816 0) + (property "Reference" "C?" (at 132.461 30.5816 0) (effects (font (size 1.27 1.27)) (justify left)) ) (property "Value" "6.8uF" (at 132.461 32.893 0) @@ -3303,12 +3471,12 @@ (instances (project "kicad-dart-70" (path "/7c83c304-769a-4be4-890e-297aba22b5b9" - (reference "C10") (unit 1) + (reference "C?") (unit 1) ) ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "C18") (unit 1) + (reference "C4") (unit 1) ) ) ) @@ -3323,7 +3491,7 @@ (property "Value" "MF-SMDF150-2" (at 88.9 25.4 90) (effects (font (size 1.27 1.27))) ) - (property "Footprint" "" (at 93.98 26.67 0) + (property "Footprint" "mpb:Fuse_MF_SMDF150" (at 93.98 26.67 0) (effects (font (size 1.27 1.27)) (justify left) hide) ) (property "Datasheet" "~" (at 88.9 27.94 0) @@ -3373,7 +3541,7 @@ (reference "#PWR?") (unit 1) ) (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "#PWR045") (unit 1) + (reference "#PWR025") (unit 1) ) ) ) @@ -3406,7 +3574,7 @@ (reference "#PWR?") (unit 1) ) (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "#PWR022") (unit 1) + (reference "#PWR02") (unit 1) ) ) ) @@ -3415,7 +3583,7 @@ (symbol (lib_id "Device:C_Small") (at 275.59 62.23 0) (mirror y) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid 1a6bb0c1-8bde-42d6-b711-6a0bba9ec54e) - (property "Reference" "C166" (at 278.13 60.9662 0) + (property "Reference" "C?" (at 278.13 60.9662 0) (effects (font (size 1.27 1.27)) (justify right)) ) (property "Value" "10nF" (at 278.13 63.5062 0) @@ -3438,12 +3606,12 @@ (instances (project "kicad-dart-70" (path "/7c83c304-769a-4be4-890e-297aba22b5b9/2eb25ee2-d512-42fe-80f1-00400ed0a45e" - (reference "C166") (unit 1) + (reference "C?") (unit 1) ) ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "C16") (unit 1) + (reference "C26") (unit 1) ) ) ) @@ -3480,7 +3648,7 @@ (reference "R?") (unit 1) ) (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "R6") (unit 1) + (reference "R4") (unit 1) ) ) ) @@ -3489,7 +3657,7 @@ (symbol (lib_id "Device:C_Small") (at 172.72 49.53 0) (mirror y) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid 1f61e8b0-44d8-40a8-a1d2-68e97ad3d6d9) - (property "Reference" "C166" (at 175.26 48.9013 0) + (property "Reference" "C?" (at 175.26 48.9013 0) (effects (font (size 1.27 1.27)) (justify right)) ) (property "Value" "10nF" (at 175.26 51.4413 0) @@ -3512,12 +3680,12 @@ (instances (project "kicad-dart-70" (path "/7c83c304-769a-4be4-890e-297aba22b5b9/2eb25ee2-d512-42fe-80f1-00400ed0a45e" - (reference "C166") (unit 1) + (reference "C?") (unit 1) ) ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "C26") (unit 1) + (reference "C12") (unit 1) ) ) ) @@ -3526,7 +3694,7 @@ (symbol (lib_id "Device:R") (at 173.99 25.4 180) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid 203590ff-9444-4d4e-98cd-e4d30d7d0111) - (property "Reference" "R170" (at 176.53 24.765 0) + (property "Reference" "R?" (at 176.53 24.765 0) (effects (font (size 1.27 1.27)) (justify right)) ) (property "Value" "1k" (at 176.53 27.305 0) @@ -3546,33 +3714,33 @@ (instances (project "kicad-dart-70" (path "/7c83c304-769a-4be4-890e-297aba22b5b9/2eb25ee2-d512-42fe-80f1-00400ed0a45e" - (reference "R170") (unit 1) + (reference "R?") (unit 1) ) ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "R20") (unit 1) + (reference "R9") (unit 1) ) ) ) ) - (symbol (lib_id "Device:L_Small") (at 199.39 52.07 180) (unit 1) + (symbol (lib_id "Device:L_Small") (at 199.39 45.72 180) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid 2545dcbc-ed1d-4cce-9986-96880185e4fd) - (property "Reference" "L6" (at 200.66 51.435 0) + (property "Reference" "L7" (at 200.66 45.085 0) (effects (font (size 1.27 1.27)) (justify right)) ) - (property "Value" "39nH" (at 200.66 53.975 0) + (property "Value" "39nH" (at 200.66 47.625 0) (effects (font (size 1.27 1.27)) (justify right)) ) - (property "Footprint" "mpb:L_Coilcraft_1812SMS" (at 199.39 52.07 0) + (property "Footprint" "mpb:L_Coilcraft_1812SMS" (at 199.39 45.72 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 199.39 52.07 0) + (property "Datasheet" "~" (at 199.39 45.72 0) (effects (font (size 1.27 1.27)) hide) ) - (property "MPN" "1812SMS-39NJLC" (at 199.39 52.07 0) + (property "MPN" "1812SMS-39NJLC" (at 199.39 45.72 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid d05c9278-c6de-47da-ad7c-c9a1b0d0eb29)) @@ -3580,7 +3748,7 @@ (instances (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "L6") (unit 1) + (reference "L7") (unit 1) ) ) ) @@ -3589,7 +3757,7 @@ (symbol (lib_id "Transistor_BJT:MMBT3904") (at 223.52 109.22 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 25b17edb-6868-4fcb-9281-a97041e72180) - (property "Reference" "Q2" (at 228.3714 108.0516 0) + (property "Reference" "Q?" (at 228.3714 108.0516 0) (effects (font (size 1.27 1.27)) (justify left)) ) (property "Value" "MMBT3904" (at 228.3714 110.363 0) @@ -3613,12 +3781,12 @@ (instances (project "kicad-dart-70" (path "/7c83c304-769a-4be4-890e-297aba22b5b9" - (reference "Q2") (unit 1) + (reference "Q?") (unit 1) ) ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "Q4") (unit 1) + (reference "Q2") (unit 1) ) ) ) @@ -3627,13 +3795,13 @@ (symbol (lib_id "Device:L_Small") (at 223.52 59.69 90) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid 277e69ff-29a8-4054-85a2-b1d80564d9e5) - (property "Reference" "L5" (at 223.52 55.88 90) + (property "Reference" "L10" (at 223.52 55.88 90) (effects (font (size 1.27 1.27))) ) (property "Value" "9nH" (at 223.52 58.42 90) (effects (font (size 1.27 1.27))) ) - (property "Footprint" "" (at 223.52 59.69 0) + (property "Footprint" "Inductor_SMD:L_1206_3216Metric" (at 223.52 59.69 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "~" (at 223.52 59.69 0) @@ -3647,7 +3815,41 @@ (instances (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "L5") (unit 1) + (reference "L10") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R") (at 93.98 44.45 180) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 2b0d46c1-336d-43c1-a325-57e6fd8d8728) + (property "Reference" "R?" (at 96.52 43.815 0) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Value" "1.2k" (at 96.52 46.355 0) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (at 95.758 44.45 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 93.98 44.45 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Need_order" "0" (at 93.98 44.45 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid be633935-ef30-456c-94c0-28288a1d1e71)) + (pin "2" (uuid 100960f4-5399-4bb9-a8ed-dfd2b369bc54)) + (instances + (project "kicad-dart-70" + (path "/7c83c304-769a-4be4-890e-297aba22b5b9/2eb25ee2-d512-42fe-80f1-00400ed0a45e" + (reference "R?") (unit 1) + ) + ) + (project "tracker-kicad" + (path "/c7284140-20d8-41a9-bb44-23c19c814c53" + (reference "R2") (unit 1) ) ) ) @@ -3656,7 +3858,7 @@ (symbol (lib_id "Transistor_FET:IRF7309IPBF") (at 237.49 35.56 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid 2cd7c147-51c3-49c7-aa32-6618f7d9ca5f) - (property "Reference" "Q5" (at 243.84 35.5599 0) + (property "Reference" "Q?" (at 243.84 35.5599 0) (effects (font (size 1.27 1.27)) (justify left)) ) (property "Value" "IRF7309" (at 243.84 36.8299 0) @@ -3685,7 +3887,7 @@ (instances (project "kicad-dart-70" (path "/7c83c304-769a-4be4-890e-297aba22b5b9" - (reference "Q5") (unit 1) + (reference "Q?") (unit 1) ) ) (project "tracker-kicad" @@ -3699,7 +3901,7 @@ (symbol (lib_id "Device:L_Small") (at 151.13 146.05 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid 307f2e30-7d2d-4e51-a425-8dbdb61df9d8) - (property "Reference" "L1" (at 152.4 145.415 0) + (property "Reference" "L2" (at 152.4 145.415 0) (effects (font (size 1.27 1.27)) (justify left)) ) (property "Value" "27nH" (at 152.4 147.955 0) @@ -3719,7 +3921,7 @@ (instances (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "L1") (unit 1) + (reference "L2") (unit 1) ) ) ) @@ -3728,7 +3930,7 @@ (symbol (lib_name "BAT18_3") (lib_id "mpb:BAT18") (at 152.4 88.9 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid 3e173e89-516a-4e57-83eb-b9061b7a89de) - (property "Reference" "D26" (at 152.9842 83.82 0) + (property "Reference" "D?" (at 152.9842 83.82 0) (effects (font (size 1.27 1.27))) ) (property "Value" "BAT18" (at 152.9842 86.36 0) @@ -3752,12 +3954,12 @@ (instances (project "kicad-dart-70" (path "/7c83c304-769a-4be4-890e-297aba22b5b9/2eb25ee2-d512-42fe-80f1-00400ed0a45e" - (reference "D26") (unit 1) + (reference "D?") (unit 1) ) ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "D5") (unit 1) + (reference "D2") (unit 1) ) ) ) @@ -3797,7 +3999,7 @@ (reference "C?") (unit 1) ) (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "C7") (unit 1) + (reference "C14") (unit 1) ) ) ) @@ -3806,7 +4008,7 @@ (symbol (lib_id "power:GND") (at 226.06 114.3 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid 3f1c334d-d051-48f2-bdf5-f036460abf36) - (property "Reference" "#PWR059" (at 226.06 120.65 0) + (property "Reference" "#PWR?" (at 226.06 120.65 0) (effects (font (size 1.27 1.27)) hide) ) (property "Value" "GND" (at 226.187 117.5258 90) @@ -3822,30 +4024,56 @@ (instances (project "kicad-dart-70" (path "/7c83c304-769a-4be4-890e-297aba22b5b9" - (reference "#PWR059") (unit 1) + (reference "#PWR?") (unit 1) + ) + ) + (project "tracker-kicad" + (path "/c7284140-20d8-41a9-bb44-23c19c814c53" + (reference "#PWR037") (unit 1) ) ) + ) + ) + + (symbol (lib_id "Connector_Generic:Conn_01x02") (at 64.77 44.45 180) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 3fda3d14-237f-4815-9887-fcae2a704fe4) + (property "Reference" "J12" (at 64.77 35.56 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "GND" (at 64.77 38.1 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "Connector_PinHeader_2.54mm:PinHeader_1x02_P2.54mm_Vertical" (at 64.77 44.45 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 64.77 44.45 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 6250c380-0c4d-4d26-a1dd-541df8493394)) + (pin "2" (uuid 89aac505-08b2-477c-bab3-801d11c98c6d)) + (instances (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "#PWR053") (unit 1) + (reference "J12") (unit 1) ) ) ) ) - (symbol (lib_id "power:GND") (at 193.04 36.83 270) (unit 1) + (symbol (lib_id "power:GND") (at 193.04 31.75 270) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid 401fbf97-6395-4c4d-abd6-e6915a0adce9) - (property "Reference" "#PWR?" (at 186.69 36.83 0) + (property "Reference" "#PWR?" (at 186.69 31.75 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "GND" (at 188.6458 36.957 0) + (property "Value" "GND" (at 188.6458 31.877 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "" (at 193.04 36.83 0) + (property "Footprint" "" (at 193.04 31.75 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 193.04 36.83 0) + (property "Datasheet" "" (at 193.04 31.75 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid f1252f03-f6e9-4816-adf1-2bf88cfc06e0)) @@ -3860,7 +4088,7 @@ (reference "#PWR?") (unit 1) ) (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "#PWR027") (unit 1) + (reference "#PWR029") (unit 1) ) ) ) @@ -3893,7 +4121,7 @@ (reference "#PWR?") (unit 1) ) (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "#PWR08") (unit 1) + (reference "#PWR013") (unit 1) ) ) ) @@ -3926,25 +4154,58 @@ (reference "#PWR?") (unit 1) ) (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "#PWR021") (unit 1) + (reference "#PWR01") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 223.52 29.21 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 44251ec9-ac2d-48e6-870a-81fac26f22b5) + (property "Reference" "#PWR?" (at 223.52 35.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 223.647 33.6042 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Footprint" "" (at 223.52 29.21 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 223.52 29.21 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 26f59988-1cb8-4d48-9f7b-02647b04e56c)) + (instances + (project "kicad-dart-70" + (path "/7c83c304-769a-4be4-890e-297aba22b5b9/2868e76c-709b-493b-aaa8-b58a2fce5e93" + (reference "#PWR?") (unit 1) + ) + ) + (project "tracker-kicad" + (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" + (reference "#PWR?") (unit 1) + ) + (path "/c7284140-20d8-41a9-bb44-23c19c814c53" + (reference "#PWR081") (unit 1) ) ) ) ) - (symbol (lib_id "power:GND") (at 193.04 46.99 270) (unit 1) + (symbol (lib_id "power:GND") (at 193.04 41.91 270) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid 491e18cf-ad30-406a-a59c-f016951fb008) - (property "Reference" "#PWR?" (at 186.69 46.99 0) + (property "Reference" "#PWR?" (at 186.69 41.91 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "GND" (at 188.6458 47.117 0) + (property "Value" "GND" (at 188.6458 42.037 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "" (at 193.04 46.99 0) + (property "Footprint" "" (at 193.04 41.91 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 193.04 46.99 0) + (property "Datasheet" "" (at 193.04 41.91 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid e99a0888-73d9-45e9-a397-a301a6a3d505)) @@ -3959,7 +4220,7 @@ (reference "#PWR?") (unit 1) ) (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "#PWR026") (unit 1) + (reference "#PWR030") (unit 1) ) ) ) @@ -3968,13 +4229,13 @@ (symbol (lib_id "Connector:Conn_Coaxial") (at 275.59 69.85 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid 4be29bca-c94a-4169-a61b-19fb886d001b) - (property "Reference" "J3" (at 279.4 69.5082 0) + (property "Reference" "J7" (at 279.4 69.5082 0) (effects (font (size 1.27 1.27)) (justify left)) ) (property "Value" "LoRa" (at 279.4 72.0482 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "" (at 275.59 69.85 0) + (property "Footprint" "Connector_Coaxial:SMA_Amphenol_132291_Vertical" (at 275.59 69.85 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" " ~" (at 275.59 69.85 0) @@ -3985,7 +4246,7 @@ (instances (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "J3") (unit 1) + (reference "J7") (unit 1) ) ) ) @@ -3994,7 +4255,7 @@ (symbol (lib_id "Device:L") (at 204.47 97.79 270) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid 4c0a2dee-ce53-46a2-a470-43feea88777d) - (property "Reference" "L47" (at 204.47 93.98 90) + (property "Reference" "L?" (at 204.47 93.98 90) (effects (font (size 1.27 1.27))) ) (property "Value" "180nH" (at 204.47 96.52 90) @@ -4017,12 +4278,12 @@ (instances (project "kicad-dart-70" (path "/7c83c304-769a-4be4-890e-297aba22b5b9/2eb25ee2-d512-42fe-80f1-00400ed0a45e" - (reference "L47") (unit 1) + (reference "L?") (unit 1) ) ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "L13") (unit 1) + (reference "L8") (unit 1) ) ) ) @@ -4031,7 +4292,7 @@ (symbol (lib_id "Device:R") (at 232.41 39.37 180) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid 4c64125f-c8f9-47f2-b8d2-3aff79c86072) - (property "Reference" "R21" (at 229.87 38.0999 0) + (property "Reference" "R?" (at 229.87 38.0999 0) (effects (font (size 1.27 1.27)) (justify left)) ) (property "Value" "10k" (at 229.87 40.6399 0) @@ -4051,12 +4312,12 @@ (instances (project "kicad-dart-70" (path "/7c83c304-769a-4be4-890e-297aba22b5b9" - (reference "R21") (unit 1) + (reference "R?") (unit 1) ) ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "R11") (unit 1) + (reference "R18") (unit 1) ) ) ) @@ -4096,7 +4357,7 @@ (reference "C?") (unit 1) ) (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "C3") (unit 1) + (reference "C9") (unit 1) ) ) ) @@ -4105,7 +4366,7 @@ (symbol (lib_id "power:GND") (at 240.03 43.18 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid 4ce0b975-10fa-4ce5-871e-086ce274257a) - (property "Reference" "#PWR059" (at 240.03 49.53 0) + (property "Reference" "#PWR?" (at 240.03 49.53 0) (effects (font (size 1.27 1.27)) hide) ) (property "Value" "GND" (at 240.157 46.4058 90) @@ -4121,12 +4382,12 @@ (instances (project "kicad-dart-70" (path "/7c83c304-769a-4be4-890e-297aba22b5b9" - (reference "#PWR059") (unit 1) + (reference "#PWR?") (unit 1) ) ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "#PWR017") (unit 1) + (reference "#PWR039") (unit 1) ) ) ) @@ -4159,7 +4420,7 @@ (reference "#PWR?") (unit 1) ) (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "#PWR023") (unit 1) + (reference "#PWR03") (unit 1) ) ) ) @@ -4192,7 +4453,7 @@ (reference "#PWR?") (unit 1) ) (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "#PWR043") (unit 1) + (reference "#PWR020") (unit 1) ) ) ) @@ -4225,7 +4486,7 @@ (reference "#PWR?") (unit 1) ) (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "#PWR012") (unit 1) + (reference "#PWR023") (unit 1) ) ) ) @@ -4234,7 +4495,7 @@ (symbol (lib_id "Device:R") (at 266.7 97.79 180) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid 56e0951d-250c-4ac7-9544-69628f1d245c) - (property "Reference" "R21" (at 269.24 97.155 0) + (property "Reference" "R?" (at 269.24 97.155 0) (effects (font (size 1.27 1.27)) (justify right)) ) (property "Value" "10k" (at 269.24 99.695 0) @@ -4254,12 +4515,12 @@ (instances (project "kicad-dart-70" (path "/7c83c304-769a-4be4-890e-297aba22b5b9" - (reference "R21") (unit 1) + (reference "R?") (unit 1) ) ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "R22") (unit 1) + (reference "R24") (unit 1) ) ) ) @@ -4268,7 +4529,7 @@ (symbol (lib_id "power:+3V3") (at 218.44 38.1 270) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 5b237f21-181f-4c11-a350-8a840b9f241e) - (property "Reference" "#PWR028" (at 214.63 38.1 0) + (property "Reference" "#PWR?" (at 214.63 38.1 0) (effects (font (size 1.27 1.27)) hide) ) (property "Value" "+3V3" (at 219.71 36.83 90) @@ -4284,12 +4545,12 @@ (instances (project "kicad-dart-70" (path "/7c83c304-769a-4be4-890e-297aba22b5b9" - (reference "#PWR028") (unit 1) + (reference "#PWR?") (unit 1) ) ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "#PWR054") (unit 1) + (reference "#PWR035") (unit 1) ) ) ) @@ -4322,7 +4583,7 @@ (reference "#PWR?") (unit 1) ) (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "#PWR041") (unit 1) + (reference "#PWR017") (unit 1) ) ) ) @@ -4353,25 +4614,25 @@ ) ) - (symbol (lib_id "Device:C_Small") (at 195.58 36.83 270) (unit 1) + (symbol (lib_id "Device:C_Small") (at 195.58 31.75 270) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid 6055223a-ccc0-4836-bb16-85ad9695b1b5) - (property "Reference" "C?" (at 195.58 30.48 90) + (property "Reference" "C?" (at 195.58 25.4 90) (effects (font (size 1.27 1.27))) ) - (property "Value" "470pF" (at 195.58 33.02 90) + (property "Value" "470pF" (at 195.58 27.94 90) (effects (font (size 1.27 1.27))) ) - (property "Footprint" "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" (at 191.77 37.7952 0) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" (at 191.77 32.7152 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 195.58 36.83 0) + (property "Datasheet" "~" (at 195.58 31.75 0) (effects (font (size 1.27 1.27)) hide) ) - (property "MPN" "08051A471GAT2A" (at 195.58 36.83 0) + (property "MPN" "08051A471GAT2A" (at 195.58 31.75 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Need_order" "0" (at 195.58 36.83 0) + (property "Need_order" "0" (at 195.58 31.75 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid e0116855-75c0-4c11-bfb1-75c08c114335)) @@ -4387,7 +4648,7 @@ (reference "C?") (unit 1) ) (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "C15") (unit 1) + (reference "C18") (unit 1) ) ) ) @@ -4420,7 +4681,7 @@ (reference "#PWR?") (unit 1) ) (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "#PWR05") (unit 1) + (reference "#PWR010") (unit 1) ) ) ) @@ -4429,7 +4690,7 @@ (symbol (lib_id "Transistor_FET:IRF7309IPBF") (at 255.27 104.14 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid 61f85b49-94f1-441c-9ee2-c3f7ef7ed451) - (property "Reference" "Q5" (at 261.62 104.1399 0) + (property "Reference" "Q?" (at 261.62 104.1399 0) (effects (font (size 1.27 1.27)) (justify left)) ) (property "Value" "IRF7309" (at 261.62 105.4099 0) @@ -4451,19 +4712,19 @@ (pin "2" (uuid ba219f0e-1c19-4ce5-935a-a63b4beeaa6a)) (pin "7" (uuid e6e91dbe-770e-4b02-95d4-d8217c06126a)) (pin "8" (uuid de1775f0-448b-42e0-a86b-d9177ebea992)) - (pin "3" (uuid ba8cc049-c105-4de1-878b-b1dbe6de7d57)) - (pin "4" (uuid 4e37fb9e-80ab-4142-a214-915c8a797024)) - (pin "5" (uuid d21acb24-22d5-4f00-99de-a550b2e303b5)) - (pin "6" (uuid 97974bf9-8a18-4bae-af65-2a4432a530f7)) + (pin "3" (uuid ba8cc049-c105-4de1-878b-b1dbe6de7d58)) + (pin "4" (uuid 4e37fb9e-80ab-4142-a214-915c8a797025)) + (pin "5" (uuid d21acb24-22d5-4f00-99de-a550b2e303b6)) + (pin "6" (uuid 97974bf9-8a18-4bae-af65-2a4432a530f8)) (instances (project "kicad-dart-70" (path "/7c83c304-769a-4be4-890e-297aba22b5b9" - (reference "Q5") (unit 1) + (reference "Q?") (unit 1) ) ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "Q1") (unit 1) + (reference "Q4") (unit 1) ) ) ) @@ -4472,7 +4733,7 @@ (symbol (lib_id "Device:C_Polarized_Small") (at 104.14 31.75 0) (mirror y) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid 667ec529-b1e3-4a1d-a1bf-c927601d2c42) - (property "Reference" "C8" (at 101.6 29.9338 0) + (property "Reference" "C?" (at 101.6 29.9338 0) (effects (font (size 1.27 1.27)) (justify left)) ) (property "Value" "10uF" (at 101.6 32.4738 0) @@ -4498,12 +4759,12 @@ (instances (project "kicad-dart-70" (path "/7c83c304-769a-4be4-890e-297aba22b5b9" - (reference "C8") (unit 1) + (reference "C?") (unit 1) ) ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "C17") (unit 1) + (reference "C1") (unit 1) ) ) ) @@ -4536,7 +4797,7 @@ (reference "#PWR?") (unit 1) ) (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "#PWR010") (unit 1) + (reference "#PWR014") (unit 1) ) ) ) @@ -4545,13 +4806,13 @@ (symbol (lib_id "Device:L_Small") (at 213.36 59.69 90) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid 69380d19-54a2-4950-99ba-799eed3b8923) - (property "Reference" "L4" (at 213.36 55.88 90) + (property "Reference" "L9" (at 213.36 55.88 90) (effects (font (size 1.27 1.27))) ) (property "Value" "4nH" (at 213.36 58.42 90) (effects (font (size 1.27 1.27))) ) - (property "Footprint" "" (at 213.36 59.69 0) + (property "Footprint" "Inductor_SMD:L_1206_3216Metric" (at 213.36 59.69 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "~" (at 213.36 59.69 0) @@ -4565,7 +4826,7 @@ (instances (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "L4") (unit 1) + (reference "L9") (unit 1) ) ) ) @@ -4602,7 +4863,7 @@ (reference "R?") (unit 1) ) (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "R7") (unit 1) + (reference "R3") (unit 1) ) ) ) @@ -4642,7 +4903,7 @@ (reference "C?") (unit 1) ) (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "C4") (unit 1) + (reference "C5") (unit 1) ) ) ) @@ -4651,7 +4912,7 @@ (symbol (lib_id "Device:Q_NMOS_GDS") (at 196.85 73.66 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid 6d66b661-72c6-4ec0-b684-ddbd5a46df34) - (property "Reference" "Q30" (at 203.2 72.3899 0) + (property "Reference" "Q?" (at 203.2 72.3899 0) (effects (font (size 1.27 1.27)) (justify left)) ) (property "Value" "AFT09MS007NT1" (at 203.2 74.9299 0) @@ -4672,12 +4933,12 @@ (instances (project "kicad-dart-70" (path "/7c83c304-769a-4be4-890e-297aba22b5b9/f5953f75-4b48-4b4f-8eb7-dca86201f1bf" - (reference "Q30") (unit 1) + (reference "Q?") (unit 1) ) ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "Q2") (unit 1) + (reference "Q1") (unit 1) ) ) ) @@ -4710,7 +4971,7 @@ (reference "#PWR?") (unit 1) ) (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "#PWR037") (unit 1) + (reference "#PWR043") (unit 1) ) ) ) @@ -4759,7 +5020,7 @@ (symbol (lib_id "Device:R") (at 259.08 29.21 180) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid 6f91d617-3c1b-427d-a940-48869eb3dba8) - (property "Reference" "R21" (at 261.62 28.575 0) + (property "Reference" "R?" (at 261.62 28.575 0) (effects (font (size 1.27 1.27)) (justify right)) ) (property "Value" "10k" (at 261.62 31.115 0) @@ -4779,12 +5040,12 @@ (instances (project "kicad-dart-70" (path "/7c83c304-769a-4be4-890e-297aba22b5b9" - (reference "R21") (unit 1) + (reference "R?") (unit 1) ) ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "R23") (unit 1) + (reference "R22") (unit 1) ) ) ) @@ -4830,7 +5091,7 @@ (symbol (lib_name "BAT18_3") (lib_id "mpb:BAT18") (at 209.55 93.98 270) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid 73cf5247-8417-44f2-9ae7-85bffcbfe253) - (property "Reference" "D26" (at 212.09 93.9292 90) + (property "Reference" "D?" (at 212.09 93.9292 90) (effects (font (size 1.27 1.27)) (justify left)) ) (property "Value" "BAT18" (at 212.09 96.4692 90) @@ -4854,12 +5115,12 @@ (instances (project "kicad-dart-70" (path "/7c83c304-769a-4be4-890e-297aba22b5b9/2eb25ee2-d512-42fe-80f1-00400ed0a45e" - (reference "D26") (unit 1) + (reference "D?") (unit 1) ) ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "D8") (unit 1) + (reference "D4") (unit 1) ) ) ) @@ -4899,7 +5160,7 @@ (reference "C?") (unit 1) ) (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "C21") (unit 1) + (reference "C25") (unit 1) ) ) ) @@ -4908,7 +5169,7 @@ (symbol (lib_id "Device:L") (at 143.51 88.9 90) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 77270ce0-4440-4e17-a7c3-8734e81536d3) - (property "Reference" "L47" (at 143.51 85.09 90) + (property "Reference" "L?" (at 143.51 85.09 90) (effects (font (size 1.27 1.27))) ) (property "Value" "180nH" (at 143.51 87.63 90) @@ -4931,12 +5192,12 @@ (instances (project "kicad-dart-70" (path "/7c83c304-769a-4be4-890e-297aba22b5b9/2eb25ee2-d512-42fe-80f1-00400ed0a45e" - (reference "L47") (unit 1) + (reference "L?") (unit 1) ) ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "L10") (unit 1) + (reference "L1") (unit 1) ) ) ) @@ -4945,13 +5206,13 @@ (symbol (lib_id "Diode:1N4148W") (at 161.29 48.26 90) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid 77cda478-420a-4b65-8409-e863c9eca42a) - (property "Reference" "D23" (at 163.83 48.895 90) + (property "Reference" "D?" (at 163.83 48.895 90) (effects (font (size 1.27 1.27)) (justify right)) ) (property "Value" "1N4148W" (at 163.83 50.165 90) (effects (font (size 1.27 1.27)) (justify right) hide) ) - (property "Footprint" "Diode_SMD:D_SOD-123F" (at 165.735 48.26 0) + (property "Footprint" "Diode_SMD:D_SOD-123" (at 165.735 48.26 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "/home/bram/Sync/Doc/Datasheet/1N4148W-7-F.pdf" (at 161.29 48.26 0) @@ -4963,17 +5224,23 @@ (property "Need_order" "0" (at 161.29 48.26 0) (effects (font (size 1.27 1.27)) hide) ) + (property "Sim.Device" "D" (at 161.29 48.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Sim.Pins" "1=K 2=A" (at 161.29 48.26 0) + (effects (font (size 1.27 1.27)) hide) + ) (pin "1" (uuid c4ef5fa3-08de-434c-95f9-58b1d5f0791d)) (pin "2" (uuid ad9d024b-3265-4aa1-8ee5-084b53f45b64)) (instances (project "kicad-dart-70" (path "/7c83c304-769a-4be4-890e-297aba22b5b9/f5953f75-4b48-4b4f-8eb7-dca86201f1bf" - (reference "D23") (unit 1) + (reference "D?") (unit 1) ) ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "D7") (unit 1) + (reference "D3") (unit 1) ) ) ) @@ -5006,7 +5273,7 @@ (reference "#PWR?") (unit 1) ) (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "#PWR044") (unit 1) + (reference "#PWR027") (unit 1) ) ) ) @@ -5015,7 +5282,7 @@ (symbol (lib_name "BAT18_3") (lib_id "mpb:BAT18") (at 260.35 59.69 180) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid 7a26bf29-24cd-44bd-b151-17833c2776a3) - (property "Reference" "D26" (at 259.7658 53.34 0) + (property "Reference" "D?" (at 259.7658 53.34 0) (effects (font (size 1.27 1.27))) ) (property "Value" "BAT18" (at 259.7658 55.88 0) @@ -5039,12 +5306,12 @@ (instances (project "kicad-dart-70" (path "/7c83c304-769a-4be4-890e-297aba22b5b9/2eb25ee2-d512-42fe-80f1-00400ed0a45e" - (reference "D26") (unit 1) + (reference "D?") (unit 1) ) ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "D3") (unit 1) + (reference "D5") (unit 1) ) ) ) @@ -5053,7 +5320,7 @@ (symbol (lib_name "BAT18_3") (lib_id "mpb:BAT18") (at 260.35 69.85 180) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid 7cf29cdb-2b11-4998-b95d-bb5c6a65fe39) - (property "Reference" "D26" (at 259.7658 63.5 0) + (property "Reference" "D?" (at 259.7658 63.5 0) (effects (font (size 1.27 1.27))) ) (property "Value" "BAT18" (at 259.7658 66.04 0) @@ -5077,12 +5344,12 @@ (instances (project "kicad-dart-70" (path "/7c83c304-769a-4be4-890e-297aba22b5b9/2eb25ee2-d512-42fe-80f1-00400ed0a45e" - (reference "D26") (unit 1) + (reference "D?") (unit 1) ) ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "D4") (unit 1) + (reference "D6") (unit 1) ) ) ) @@ -5091,7 +5358,7 @@ (symbol (lib_id "Device:R") (at 226.06 100.33 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid 7d58e1cf-8267-49f0-89c0-01506055f8fb) - (property "Reference" "R170" (at 228.6 99.695 0) + (property "Reference" "R?" (at 228.6 99.695 0) (effects (font (size 1.27 1.27)) (justify left)) ) (property "Value" "0" (at 228.6 102.235 0) @@ -5111,12 +5378,12 @@ (instances (project "kicad-dart-70" (path "/7c83c304-769a-4be4-890e-297aba22b5b9/2eb25ee2-d512-42fe-80f1-00400ed0a45e" - (reference "R170") (unit 1) + (reference "R?") (unit 1) ) ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "R26") (unit 1) + (reference "R15") (unit 1) ) ) ) @@ -5125,7 +5392,7 @@ (symbol (lib_id "Device:C_Small") (at 147.32 25.4 0) (mirror y) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid 7fc8747a-1e3a-450b-b42c-b93c4553ac91) - (property "Reference" "C166" (at 144.78 24.7713 0) + (property "Reference" "C?" (at 144.78 24.7713 0) (effects (font (size 1.27 1.27)) (justify left)) ) (property "Value" "10nF" (at 144.78 27.3113 0) @@ -5148,12 +5415,12 @@ (instances (project "kicad-dart-70" (path "/7c83c304-769a-4be4-890e-297aba22b5b9/2eb25ee2-d512-42fe-80f1-00400ed0a45e" - (reference "C166") (unit 1) + (reference "C?") (unit 1) ) ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "C27") (unit 1) + (reference "C7") (unit 1) ) ) ) @@ -5187,7 +5454,7 @@ (symbol (lib_id "Device:R_Potentiometer") (at 173.99 33.02 0) (mirror x) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid 826ba145-8bed-46a9-89b5-eef8fa543f7f) - (property "Reference" "RV5" (at 171.45 32.385 0) + (property "Reference" "RV?" (at 171.45 32.385 0) (effects (font (size 1.27 1.27)) (justify right)) ) (property "Value" "10k" (at 171.45 34.925 0) @@ -5211,7 +5478,7 @@ (instances (project "kicad-dart-70" (path "/7c83c304-769a-4be4-890e-297aba22b5b9/f5953f75-4b48-4b4f-8eb7-dca86201f1bf" - (reference "RV5") (unit 1) + (reference "RV?") (unit 1) ) ) (project "tracker-kicad" @@ -5249,7 +5516,7 @@ (reference "#PWR?") (unit 1) ) (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "#PWR06") (unit 1) + (reference "#PWR08") (unit 1) ) ) ) @@ -5289,32 +5556,32 @@ (reference "C?") (unit 1) ) (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "C22") (unit 1) + (reference "C8") (unit 1) ) ) ) ) - (symbol (lib_id "power:+BATT") (at 199.39 22.86 0) (unit 1) + (symbol (lib_id "power:+BATT") (at 199.39 17.78 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid 857ab96f-6a71-496f-b39c-8d4556188f31) - (property "Reference" "#PWR029" (at 199.39 26.67 0) + (property "Reference" "#PWR032" (at 199.39 21.59 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "+BATT" (at 199.39 19.05 0) + (property "Value" "+BATT" (at 199.39 13.97 0) (effects (font (size 1.27 1.27))) ) - (property "Footprint" "" (at 199.39 22.86 0) + (property "Footprint" "" (at 199.39 17.78 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 199.39 22.86 0) + (property "Datasheet" "" (at 199.39 17.78 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 05686e94-c1ba-43ee-a498-1670df5d1587)) (instances (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "#PWR029") (unit 1) + (reference "#PWR032") (unit 1) ) ) ) @@ -5323,7 +5590,7 @@ (symbol (lib_id "Device:R") (at 231.14 88.9 270) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid 86193928-116a-4ecf-831d-ca251d0e7c65) - (property "Reference" "R170" (at 231.14 83.82 90) + (property "Reference" "R?" (at 231.14 83.82 90) (effects (font (size 1.27 1.27))) ) (property "Value" "0" (at 231.14 86.36 90) @@ -5343,12 +5610,12 @@ (instances (project "kicad-dart-70" (path "/7c83c304-769a-4be4-890e-297aba22b5b9/2eb25ee2-d512-42fe-80f1-00400ed0a45e" - (reference "R170") (unit 1) + (reference "R?") (unit 1) ) ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "R28") (unit 1) + (reference "R16") (unit 1) ) ) ) @@ -5357,7 +5624,7 @@ (symbol (lib_id "Transistor_FET:IRF7309IPBF") (at 240.03 25.4 270) (mirror x) (unit 2) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid 87bbc239-d724-40f1-af55-0dcf2a6ce7f9) - (property "Reference" "Q5" (at 240.03 15.24 90) + (property "Reference" "Q?" (at 240.03 15.24 90) (effects (font (size 1.27 1.27))) ) (property "Value" "IRF7309" (at 240.03 17.78 90) @@ -5386,7 +5653,7 @@ (instances (project "kicad-dart-70" (path "/7c83c304-769a-4be4-890e-297aba22b5b9" - (reference "Q5") (unit 2) + (reference "Q?") (unit 2) ) ) (project "tracker-kicad" @@ -5424,7 +5691,7 @@ (reference "#PWR?") (unit 1) ) (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "#PWR020") (unit 1) + (reference "#PWR033") (unit 1) ) ) ) @@ -5433,13 +5700,13 @@ (symbol (lib_id "Device:L_Small") (at 180.34 73.66 90) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid 8900f695-7466-4607-980a-90088b9aee43) - (property "Reference" "L3" (at 180.34 69.85 90) + (property "Reference" "L5" (at 180.34 69.85 90) (effects (font (size 1.27 1.27))) ) (property "Value" "2.5nH" (at 180.34 72.39 90) (effects (font (size 1.27 1.27))) ) - (property "Footprint" "" (at 180.34 73.66 0) + (property "Footprint" "Inductor_SMD:L_1206_3216Metric" (at 180.34 73.66 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "~" (at 180.34 73.66 0) @@ -5453,7 +5720,7 @@ (instances (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "L3") (unit 1) + (reference "L5") (unit 1) ) ) ) @@ -5486,7 +5753,7 @@ (reference "#PWR?") (unit 1) ) (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "#PWR052") (unit 1) + (reference "#PWR034") (unit 1) ) ) ) @@ -5495,7 +5762,7 @@ (symbol (lib_id "Device:R") (at 232.41 26.67 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid 8a0ba43b-c2b1-434a-86b4-f66c9b27121f) - (property "Reference" "R22" (at 229.87 25.3999 0) + (property "Reference" "R?" (at 229.87 25.3999 0) (effects (font (size 1.27 1.27)) (justify right)) ) (property "Value" "12k" (at 229.87 27.9399 0) @@ -5515,12 +5782,12 @@ (instances (project "kicad-dart-70" (path "/7c83c304-769a-4be4-890e-297aba22b5b9" - (reference "R22") (unit 1) + (reference "R?") (unit 1) ) ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "R12") (unit 1) + (reference "R17") (unit 1) ) ) ) @@ -5544,8 +5811,8 @@ (property "Need_order" "0" (at 97.79 154.94 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid dd7a1aec-0e20-4778-a772-a82804022f31)) - (pin "2" (uuid 984fdf11-dfdc-46e5-9b05-fca2f3d15685)) + (pin "1" (uuid 76bdddaf-7ed3-46cc-9887-5a5b0a603b3d)) + (pin "2" (uuid 7a7faba5-ac24-4b48-97a1-7d2267cff53a)) (instances (project "kicad-dart-70" (path "/7c83c304-769a-4be4-890e-297aba22b5b9/2868e76c-709b-493b-aaa8-b58a2fce5e93" @@ -5557,7 +5824,7 @@ (reference "R?") (unit 1) ) (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "R5") (unit 1) + (reference "R6") (unit 1) ) ) ) @@ -5566,7 +5833,7 @@ (symbol (lib_id "Device:L") (at 156.21 60.96 180) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid 93024990-e43f-42c7-887a-fc004520ae4b) - (property "Reference" "L47" (at 157.48 60.325 0) + (property "Reference" "L?" (at 157.48 60.325 0) (effects (font (size 1.27 1.27)) (justify right)) ) (property "Value" "180nH" (at 157.48 62.865 0) @@ -5589,12 +5856,12 @@ (instances (project "kicad-dart-70" (path "/7c83c304-769a-4be4-890e-297aba22b5b9/2eb25ee2-d512-42fe-80f1-00400ed0a45e" - (reference "L47") (unit 1) + (reference "L?") (unit 1) ) ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "L11") (unit 1) + (reference "L3") (unit 1) ) ) ) @@ -5603,7 +5870,7 @@ (symbol (lib_id "RF_GPS:NEO-M8N") (at 123.19 152.4 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid 9429db2b-0ac8-4d2a-a58a-a63f69b2b958) - (property "Reference" "U2" (at 125.1459 175.26 0) + (property "Reference" "U3" (at 125.1459 175.26 0) (effects (font (size 1.27 1.27)) (justify left)) ) (property "Value" "NEO-M8N" (at 125.1459 177.8 0) @@ -5642,7 +5909,7 @@ (instances (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "U2") (unit 1) + (reference "U3") (unit 1) ) ) ) @@ -5651,13 +5918,13 @@ (symbol (lib_id "Connector_Generic:Conn_01x03") (at 213.36 38.1 180) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid 96430675-f9b1-4422-b476-d1cba74bc4ef) - (property "Reference" "J8" (at 213.36 30.48 0) + (property "Reference" "J6" (at 213.36 30.48 0) (effects (font (size 1.27 1.27))) ) (property "Value" "PA Ctrl" (at 213.36 33.02 0) (effects (font (size 1.27 1.27))) ) - (property "Footprint" "Connector_PinHeader_2.54mm:PinHeader_1x02_P2.54mm_Vertical" (at 213.36 38.1 0) + (property "Footprint" "Connector_PinHeader_2.54mm:PinHeader_1x03_P2.54mm_Vertical" (at 213.36 38.1 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "~" (at 213.36 38.1 0) @@ -5669,7 +5936,7 @@ (instances (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "J8") (unit 1) + (reference "J6") (unit 1) ) ) ) @@ -5709,7 +5976,7 @@ (reference "C?") (unit 1) ) (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "C11") (unit 1) + (reference "C22") (unit 1) ) ) ) @@ -5742,7 +6009,7 @@ (reference "#PWR?") (unit 1) ) (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "#PWR013") (unit 1) + (reference "#PWR046") (unit 1) ) ) ) @@ -5779,34 +6046,67 @@ (reference "R?") (unit 1) ) (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "R4") (unit 1) + (reference "R5") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 69.85 44.45 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 9c375bae-639f-42f7-98ea-100b1dba64ff) + (property "Reference" "#PWR?" (at 69.85 50.8 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 69.977 48.8442 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Footprint" "" (at 69.85 44.45 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 69.85 44.45 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 5005a787-1e19-4794-83b2-5af04c339396)) + (instances + (project "kicad-dart-70" + (path "/7c83c304-769a-4be4-890e-297aba22b5b9/2868e76c-709b-493b-aaa8-b58a2fce5e93" + (reference "#PWR?") (unit 1) + ) + ) + (project "tracker-kicad" + (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" + (reference "#PWR?") (unit 1) + ) + (path "/c7284140-20d8-41a9-bb44-23c19c814c53" + (reference "#PWR083") (unit 1) ) ) ) ) - (symbol (lib_id "Device:C_Polarized_Small") (at 195.58 26.67 270) (unit 1) + (symbol (lib_id "Device:C_Polarized_Small") (at 195.58 21.59 270) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid 9ce396b8-a4a0-498b-b9a4-5bc799cec69e) - (property "Reference" "C10" (at 196.1261 21.59 90) + (property "Reference" "C?" (at 196.1261 16.51 90) (effects (font (size 1.27 1.27))) ) - (property "Value" "6.8uF" (at 196.1261 24.13 90) + (property "Value" "6.8uF" (at 196.1261 19.05 90) (effects (font (size 1.27 1.27))) ) - (property "Footprint" "Capacitor_SMD:C_1210_3225Metric_Pad1.33x2.70mm_HandSolder" (at 195.58 26.67 0) + (property "Footprint" "Capacitor_SMD:C_1210_3225Metric_Pad1.33x2.70mm_HandSolder" (at 195.58 21.59 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 195.58 26.67 0) + (property "Datasheet" "~" (at 195.58 21.59 0) (effects (font (size 1.27 1.27)) hide) ) - (property "MPN" "stash tantalum" (at 195.58 26.67 0) + (property "MPN" "stash tantalum" (at 195.58 21.59 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Need_order" "0" (at 195.58 26.67 0) + (property "Need_order" "0" (at 195.58 21.59 0) (effects (font (size 1.27 1.27)) hide) ) - (property "OriginalValue" "" (at 195.58 26.67 0) + (property "OriginalValue" "" (at 195.58 21.59 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid ed83e19e-e055-4b25-b6d7-1b84b0d5ffb1)) @@ -5814,12 +6114,12 @@ (instances (project "kicad-dart-70" (path "/7c83c304-769a-4be4-890e-297aba22b5b9" - (reference "C10") (unit 1) + (reference "C?") (unit 1) ) ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "C19") (unit 1) + (reference "C17") (unit 1) ) ) ) @@ -5828,7 +6128,7 @@ (symbol (lib_name "BAT18_3") (lib_id "mpb:BAT18") (at 152.4 73.66 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid 9cf7ff3b-bbc5-45bc-9261-d3ce6e55eada) - (property "Reference" "D26" (at 152.9842 68.58 0) + (property "Reference" "D?" (at 152.9842 68.58 0) (effects (font (size 1.27 1.27))) ) (property "Value" "BAT18" (at 152.9842 71.12 0) @@ -5852,12 +6152,12 @@ (instances (project "kicad-dart-70" (path "/7c83c304-769a-4be4-890e-297aba22b5b9/2eb25ee2-d512-42fe-80f1-00400ed0a45e" - (reference "D26") (unit 1) + (reference "D?") (unit 1) ) ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "D6") (unit 1) + (reference "D1") (unit 1) ) ) ) @@ -5866,7 +6166,7 @@ (symbol (lib_id "Device:R") (at 214.63 109.22 90) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid 9f3f0e97-0549-46ee-a88a-ffdb6d88aace) - (property "Reference" "R170" (at 214.63 104.14 90) + (property "Reference" "R?" (at 214.63 104.14 90) (effects (font (size 1.27 1.27))) ) (property "Value" "1k" (at 214.63 106.68 90) @@ -5886,12 +6186,12 @@ (instances (project "kicad-dart-70" (path "/7c83c304-769a-4be4-890e-297aba22b5b9/2eb25ee2-d512-42fe-80f1-00400ed0a45e" - (reference "R170") (unit 1) + (reference "R?") (unit 1) ) ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "R27") (unit 1) + (reference "R14") (unit 1) ) ) ) @@ -5906,7 +6206,7 @@ (property "Value" "RFM98W-433S2" (at 113.7159 69.85 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "" (at 27.94 39.37 0) + (property "Footprint" "RF_Module:HOPERF_RFM9XW_SMD" (at 27.94 39.37 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "https://www.hoperf.com/data/upload/portal/20181127/5bfcdb5e17543.pdf" (at 27.94 39.37 0) @@ -5940,6 +6240,40 @@ ) ) + (symbol (lib_id "Device:R") (at 93.98 36.83 180) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid a2f2df29-bd8c-4a86-b54c-b670587ac3d3) + (property "Reference" "R?" (at 96.52 36.195 0) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Value" "6.8k" (at 96.52 38.735 0) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (at 95.758 36.83 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 93.98 36.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Need_order" "0" (at 93.98 36.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 591b064e-6a42-4dc4-97db-e65100fad5ed)) + (pin "2" (uuid 64aa117b-9e64-4762-bbb5-34cd00d75eee)) + (instances + (project "kicad-dart-70" + (path "/7c83c304-769a-4be4-890e-297aba22b5b9/2eb25ee2-d512-42fe-80f1-00400ed0a45e" + (reference "R?") (unit 1) + ) + ) + (project "tracker-kicad" + (path "/c7284140-20d8-41a9-bb44-23c19c814c53" + (reference "R1") (unit 1) + ) + ) + ) + ) + (symbol (lib_id "Device:C_Small") (at 165.1 77.47 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid a34aa3bc-7af0-4d4e-b869-ae81cb865783) @@ -5974,7 +6308,7 @@ (reference "C?") (unit 1) ) (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "C9") (unit 1) + (reference "C11") (unit 1) ) ) ) @@ -6007,7 +6341,7 @@ (reference "#PWR?") (unit 1) ) (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "#PWR046") (unit 1) + (reference "#PWR024") (unit 1) ) ) ) @@ -6016,7 +6350,7 @@ (symbol (lib_id "Device:L") (at 270.51 59.69 90) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid aaa56e15-4685-44f9-92b3-dbfbfead6a35) - (property "Reference" "L47" (at 270.51 53.34 90) + (property "Reference" "L?" (at 270.51 53.34 90) (effects (font (size 1.27 1.27))) ) (property "Value" "180nH" (at 270.51 55.88 90) @@ -6039,12 +6373,12 @@ (instances (project "kicad-dart-70" (path "/7c83c304-769a-4be4-890e-297aba22b5b9/2eb25ee2-d512-42fe-80f1-00400ed0a45e" - (reference "L47") (unit 1) + (reference "L?") (unit 1) ) ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "L7") (unit 1) + (reference "L14") (unit 1) ) ) ) @@ -6053,7 +6387,7 @@ (symbol (lib_id "Device:R") (at 204.47 88.9 270) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid b0a62caa-7993-4cf2-bab6-ca98769be65c) - (property "Reference" "R170" (at 204.47 83.82 90) + (property "Reference" "R?" (at 204.47 83.82 90) (effects (font (size 1.27 1.27))) ) (property "Value" "0" (at 204.47 86.36 90) @@ -6073,12 +6407,12 @@ (instances (project "kicad-dart-70" (path "/7c83c304-769a-4be4-890e-297aba22b5b9/2eb25ee2-d512-42fe-80f1-00400ed0a45e" - (reference "R170") (unit 1) + (reference "R?") (unit 1) ) ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "R24") (unit 1) + (reference "R13") (unit 1) ) ) ) @@ -6087,7 +6421,7 @@ (symbol (lib_id "power:+BATT") (at 232.41 20.32 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid b140339b-89ee-47bd-937b-25cd9b1ac52b) - (property "Reference" "#PWR036" (at 232.41 24.13 0) + (property "Reference" "#PWR038" (at 232.41 24.13 0) (effects (font (size 1.27 1.27)) hide) ) (property "Value" "+BATT" (at 232.41 16.51 0) @@ -6103,7 +6437,7 @@ (instances (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "#PWR036") (unit 1) + (reference "#PWR038") (unit 1) ) ) ) @@ -6112,13 +6446,13 @@ (symbol (lib_id "Device:L_Small") (at 170.18 73.66 90) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid b744aeab-856c-4980-989e-369369c7dcfd) - (property "Reference" "L2" (at 170.18 69.85 90) + (property "Reference" "L4" (at 170.18 69.85 90) (effects (font (size 1.27 1.27))) ) (property "Value" "8nH" (at 170.18 72.39 90) (effects (font (size 1.27 1.27))) ) - (property "Footprint" "" (at 170.18 73.66 0) + (property "Footprint" "Inductor_SMD:L_1206_3216Metric" (at 170.18 73.66 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "~" (at 170.18 73.66 0) @@ -6132,7 +6466,7 @@ (instances (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "L2") (unit 1) + (reference "L4") (unit 1) ) ) ) @@ -6172,7 +6506,7 @@ (reference "C?") (unit 1) ) (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "C5") (unit 1) + (reference "C27") (unit 1) ) ) ) @@ -6214,7 +6548,7 @@ (symbol (lib_id "Device:R") (at 191.77 67.31 180) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid bbd7010b-60ab-421c-aae3-395db5d3f94b) - (property "Reference" "R170" (at 194.31 66.675 0) + (property "Reference" "R?" (at 194.31 66.675 0) (effects (font (size 1.27 1.27)) (justify right)) ) (property "Value" "68" (at 194.31 69.215 0) @@ -6234,12 +6568,12 @@ (instances (project "kicad-dart-70" (path "/7c83c304-769a-4be4-890e-297aba22b5b9/2eb25ee2-d512-42fe-80f1-00400ed0a45e" - (reference "R170") (unit 1) + (reference "R?") (unit 1) ) ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "R19") (unit 1) + (reference "R11") (unit 1) ) ) ) @@ -6279,7 +6613,7 @@ (reference "C?") (unit 1) ) (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "C6") (unit 1) + (reference "C10") (unit 1) ) ) ) @@ -6288,7 +6622,7 @@ (symbol (lib_id "Transistor_FET:IRF7309IPBF") (at 257.81 93.98 270) (mirror x) (unit 2) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid c0862d2d-32d9-4db1-a8f0-50152d090bf1) - (property "Reference" "Q5" (at 257.81 83.82 90) + (property "Reference" "Q?" (at 257.81 83.82 90) (effects (font (size 1.27 1.27))) ) (property "Value" "IRF7309" (at 257.81 86.36 90) @@ -6306,10 +6640,10 @@ (property "Need_order" "0" (at 257.81 93.98 90) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid d98f2c33-a62f-4cf8-87a2-61168649f191)) - (pin "2" (uuid bdee35fb-588c-4510-94fc-9a9ca1702855)) - (pin "7" (uuid 4c5df834-caa7-40ab-8e75-6d6bbfd257bb)) - (pin "8" (uuid c1dec542-6b7e-4205-9ad8-759154cc29f3)) + (pin "1" (uuid d98f2c33-a62f-4cf8-87a2-61168649f192)) + (pin "2" (uuid bdee35fb-588c-4510-94fc-9a9ca1702856)) + (pin "7" (uuid 4c5df834-caa7-40ab-8e75-6d6bbfd257bc)) + (pin "8" (uuid c1dec542-6b7e-4205-9ad8-759154cc29f4)) (pin "3" (uuid f0dd9aba-f1c4-4c5c-a160-c3ce23aa924f)) (pin "4" (uuid 56151a4e-6d4c-4b94-8634-bc60ed1c93d9)) (pin "5" (uuid 0ab71f55-638e-4624-ae8c-e376d402de38)) @@ -6317,12 +6651,12 @@ (instances (project "kicad-dart-70" (path "/7c83c304-769a-4be4-890e-297aba22b5b9" - (reference "Q5") (unit 2) + (reference "Q?") (unit 2) ) ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "Q1") (unit 2) + (reference "Q4") (unit 2) ) ) ) @@ -6362,25 +6696,25 @@ (reference "C?") (unit 1) ) (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "C1") (unit 1) + (reference "C2") (unit 1) ) ) ) ) - (symbol (lib_id "Connector_Generic:Conn_01x02") (at 72.39 30.48 180) (unit 1) + (symbol (lib_id "Connector_Generic:Conn_01x02") (at 64.77 30.48 180) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid c5b32f9b-518a-41c8-8d3c-613559fe05ca) - (property "Reference" "J4" (at 72.39 22.86 0) + (property "Reference" "J2" (at 64.77 22.86 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Battery" (at 72.39 25.4 0) + (property "Value" "Battery" (at 64.77 25.4 0) (effects (font (size 1.27 1.27))) ) - (property "Footprint" "Connector:Banana_Jack_2Pin" (at 72.39 30.48 0) + (property "Footprint" "Connector_PinHeader_2.54mm:PinHeader_1x02_P2.54mm_Vertical" (at 64.77 30.48 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 72.39 30.48 0) + (property "Datasheet" "~" (at 64.77 30.48 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 43db0320-62d4-4030-8eff-d7a3646a21de)) @@ -6388,7 +6722,7 @@ (instances (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "J4") (unit 1) + (reference "J2") (unit 1) ) ) ) @@ -6421,7 +6755,7 @@ (reference "#PWR?") (unit 1) ) (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "#PWR040") (unit 1) + (reference "#PWR047") (unit 1) ) ) ) @@ -6430,7 +6764,7 @@ (symbol (lib_id "Connector:TestPoint") (at 134.62 27.94 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid c8966b13-370f-41cb-9689-6601f3cb5c6f) - (property "Reference" "TP1" (at 134.62 19.685 0) + (property "Reference" "TP?" (at 134.62 19.685 0) (effects (font (size 1.27 1.27))) ) (property "Value" "+3V3" (at 134.62 21.9964 0) @@ -6449,7 +6783,7 @@ (instances (project "kicad-dart-70" (path "/7c83c304-769a-4be4-890e-297aba22b5b9" - (reference "TP1") (unit 1) + (reference "TP?") (unit 1) ) ) (project "tracker-kicad" @@ -6463,7 +6797,7 @@ (symbol (lib_id "power:+3V3") (at 193.04 97.79 90) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid cb9b5961-aa6a-484f-88ea-54501828d004) - (property "Reference" "#PWR028" (at 196.85 97.79 0) + (property "Reference" "#PWR?" (at 196.85 97.79 0) (effects (font (size 1.27 1.27)) hide) ) (property "Value" "+3V3" (at 189.23 98.425 90) @@ -6479,12 +6813,12 @@ (instances (project "kicad-dart-70" (path "/7c83c304-769a-4be4-890e-297aba22b5b9" - (reference "#PWR028") (unit 1) + (reference "#PWR?") (unit 1) ) ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "#PWR051") (unit 1) + (reference "#PWR031") (unit 1) ) ) ) @@ -6524,7 +6858,7 @@ (reference "C?") (unit 1) ) (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "C25") (unit 1) + (reference "C13") (unit 1) ) ) ) @@ -6557,7 +6891,7 @@ (reference "#PWR?") (unit 1) ) (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "#PWR07") (unit 1) + (reference "#PWR012") (unit 1) ) ) ) @@ -6566,7 +6900,7 @@ (symbol (lib_id "Device:R") (at 137.16 85.09 180) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid cea17cd9-42ea-487e-aa53-40570763b8f2) - (property "Reference" "R170" (at 134.62 84.455 0) + (property "Reference" "R?" (at 134.62 84.455 0) (effects (font (size 1.27 1.27)) (justify left)) ) (property "Value" "470" (at 134.62 86.995 0) @@ -6586,12 +6920,52 @@ (instances (project "kicad-dart-70" (path "/7c83c304-769a-4be4-890e-297aba22b5b9/2eb25ee2-d512-42fe-80f1-00400ed0a45e" - (reference "R170") (unit 1) + (reference "R?") (unit 1) ) ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "R16") (unit 1) + (reference "R7") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 223.52 26.67 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid cf43c214-34b1-44e8-815e-165019a87bb6) + (property "Reference" "C?" (at 220.98 25.4063 0) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Value" "100pF" (at 220.98 27.9463 0) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" (at 224.4852 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 223.52 26.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "MPN" "" (at 223.52 26.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Need_order" "" (at 223.52 26.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 39f08897-dd30-4a26-88cc-2f1b53cd7283)) + (pin "2" (uuid 85b193b8-468e-4c66-a6f5-c071fe8d5809)) + (instances + (project "kicad-dart-70" + (path "/7c83c304-769a-4be4-890e-297aba22b5b9/2868e76c-709b-493b-aaa8-b58a2fce5e93" + (reference "C?") (unit 1) + ) + ) + (project "tracker-kicad" + (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" + (reference "C?") (unit 1) + ) + (path "/c7284140-20d8-41a9-bb44-23c19c814c53" + (reference "C35") (unit 1) ) ) ) @@ -6600,7 +6974,7 @@ (symbol (lib_id "Device:R") (at 196.85 97.79 270) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid d08a9979-4f85-4be9-80e9-7deac65e674f) - (property "Reference" "R170" (at 196.85 92.71 90) + (property "Reference" "R?" (at 196.85 92.71 90) (effects (font (size 1.27 1.27))) ) (property "Value" "220" (at 196.85 95.25 90) @@ -6620,12 +6994,12 @@ (instances (project "kicad-dart-70" (path "/7c83c304-769a-4be4-890e-297aba22b5b9/2eb25ee2-d512-42fe-80f1-00400ed0a45e" - (reference "R170") (unit 1) + (reference "R?") (unit 1) ) ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "R25") (unit 1) + (reference "R12") (unit 1) ) ) ) @@ -6634,7 +7008,7 @@ (symbol (lib_id "Device:R") (at 248.92 29.21 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid d1ab0178-5dcb-42be-807f-08869ea4a63f) - (property "Reference" "R170" (at 251.46 28.575 0) + (property "Reference" "R?" (at 251.46 28.575 0) (effects (font (size 1.27 1.27)) (justify left)) ) (property "Value" "82" (at 251.46 31.115 0) @@ -6654,12 +7028,12 @@ (instances (project "kicad-dart-70" (path "/7c83c304-769a-4be4-890e-297aba22b5b9/2eb25ee2-d512-42fe-80f1-00400ed0a45e" - (reference "R170") (unit 1) + (reference "R?") (unit 1) ) ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "R18") (unit 1) + (reference "R19") (unit 1) ) ) ) @@ -6692,7 +7066,7 @@ (reference "#PWR?") (unit 1) ) (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "#PWR042") (unit 1) + (reference "#PWR018") (unit 1) ) ) ) @@ -6725,7 +7099,7 @@ (reference "#PWR?") (unit 1) ) (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "#PWR047") (unit 1) + (reference "#PWR019") (unit 1) ) ) ) @@ -6765,7 +7139,7 @@ (reference "C?") (unit 1) ) (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "C23") (unit 1) + (reference "C21") (unit 1) ) ) ) @@ -6805,25 +7179,25 @@ (reference "C?") (unit 1) ) (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "C2") (unit 1) + (reference "C3") (unit 1) ) ) ) ) - (symbol (lib_id "Connector_Generic:Conn_01x02") (at 72.39 20.32 180) (unit 1) + (symbol (lib_id "Connector_Generic:Conn_01x02") (at 64.77 20.32 180) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid da70f78b-240e-40a4-8093-392aa52c5798) - (property "Reference" "J5" (at 72.39 12.7 0) + (property "Reference" "J1" (at 64.77 12.7 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "XT60" (at 72.39 15.24 0) + (property "Value" "XT60" (at 64.77 15.24 0) (effects (font (size 1.27 1.27))) ) - (property "Footprint" "" (at 72.39 20.32 0) + (property "Footprint" "Connector_AMASS:AMASS_XT60-M_1x02_P7.20mm_Vertical" (at 64.77 20.32 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 72.39 20.32 0) + (property "Datasheet" "~" (at 64.77 20.32 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid b17390e9-7234-4efc-b1a7-ad1541940fad)) @@ -6831,7 +7205,7 @@ (instances (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "J5") (unit 1) + (reference "J1") (unit 1) ) ) ) @@ -6840,7 +7214,7 @@ (symbol (lib_id "Device:L") (at 248.92 52.07 180) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid db832ea3-2660-4964-965b-32795396f6e8) - (property "Reference" "L47" (at 250.19 51.435 0) + (property "Reference" "L?" (at 250.19 51.435 0) (effects (font (size 1.27 1.27)) (justify right)) ) (property "Value" "180nH" (at 250.19 53.975 0) @@ -6863,30 +7237,63 @@ (instances (project "kicad-dart-70" (path "/7c83c304-769a-4be4-890e-297aba22b5b9/2eb25ee2-d512-42fe-80f1-00400ed0a45e" - (reference "L47") (unit 1) + (reference "L?") (unit 1) ) ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "L8") (unit 1) + (reference "L12") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 93.98 48.26 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid df896965-61c1-46f1-bc4f-8707bebf4b6a) + (property "Reference" "#PWR?" (at 93.98 54.61 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 93.345 52.07 90) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + (property "Footprint" "" (at 93.98 48.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 93.98 48.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid b1285f3b-d4e5-466a-b936-88f5e75c3d3f)) + (instances + (project "kicad-dart-70" + (path "/7c83c304-769a-4be4-890e-297aba22b5b9/2868e76c-709b-493b-aaa8-b58a2fce5e93" + (reference "#PWR?") (unit 1) + ) + ) + (project "tracker-kicad" + (path "/c7284140-20d8-41a9-bb44-23c19c814c53/717d30dc-b4e1-489a-b7b3-52f4b7c65672" + (reference "#PWR?") (unit 1) + ) + (path "/c7284140-20d8-41a9-bb44-23c19c814c53" + (reference "#PWR06") (unit 1) ) ) ) ) - (symbol (lib_id "Connector_Generic:Conn_01x02") (at 204.47 22.86 0) (unit 1) + (symbol (lib_id "Connector_Generic:Conn_01x02") (at 204.47 17.78 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid e02a514c-c6fa-477d-8b2e-4c757c9df9ed) - (property "Reference" "J7" (at 207.01 23.495 0) + (property "Reference" "J5" (at 207.01 18.415 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "Meas" (at 207.01 26.035 0) + (property "Value" "Meas" (at 207.01 20.955 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "Connector_PinHeader_2.54mm:PinHeader_1x02_P2.54mm_Vertical" (at 204.47 22.86 0) + (property "Footprint" "Connector_PinHeader_2.54mm:PinHeader_1x02_P2.54mm_Vertical" (at 204.47 17.78 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 204.47 22.86 0) + (property "Datasheet" "~" (at 204.47 17.78 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 46eb3c1a-a921-4695-ac99-4887e27cf701)) @@ -6894,25 +7301,25 @@ (instances (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "J7") (unit 1) + (reference "J5") (unit 1) ) ) ) ) - (symbol (lib_id "power:GND") (at 193.04 26.67 270) (unit 1) + (symbol (lib_id "power:GND") (at 193.04 21.59 270) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid e28b30d4-3e8b-43d1-9a39-53f5b8c38ddc) - (property "Reference" "#PWR?" (at 186.69 26.67 0) + (property "Reference" "#PWR?" (at 186.69 21.59 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "GND" (at 188.6458 26.797 0) + (property "Value" "GND" (at 188.6458 21.717 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "" (at 193.04 26.67 0) + (property "Footprint" "" (at 193.04 21.59 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 193.04 26.67 0) + (property "Datasheet" "" (at 193.04 21.59 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 0dbd1b14-1b33-4a03-8da1-cb48633012d7)) @@ -6936,7 +7343,7 @@ (symbol (lib_id "Connector:Conn_Coaxial") (at 134.62 59.69 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid e3be3eac-d7e8-4730-8e55-78abd75e4e78) - (property "Reference" "J9" (at 138.43 59.3482 0) + (property "Reference" "J3" (at 138.43 59.3482 0) (effects (font (size 1.27 1.27)) (justify left)) ) (property "Value" "PA in" (at 138.43 61.8882 0) @@ -6953,7 +7360,7 @@ (instances (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "J9") (unit 1) + (reference "J3") (unit 1) ) ) ) @@ -6962,7 +7369,7 @@ (symbol (lib_id "Device:R") (at 266.7 85.09 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid e51d95e8-dee9-4aec-81e0-0911e8cdc99f) - (property "Reference" "R170" (at 269.24 84.455 0) + (property "Reference" "R?" (at 269.24 84.455 0) (effects (font (size 1.27 1.27)) (justify left)) ) (property "Value" "82" (at 269.24 86.995 0) @@ -6982,21 +7389,21 @@ (instances (project "kicad-dart-70" (path "/7c83c304-769a-4be4-890e-297aba22b5b9/2eb25ee2-d512-42fe-80f1-00400ed0a45e" - (reference "R170") (unit 1) + (reference "R?") (unit 1) ) ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "R17") (unit 1) + (reference "R23") (unit 1) ) ) ) ) - (symbol (lib_id "Regulator_Linear:LD1117S33TR_SOT223") (at 118.11 27.94 0) (unit 1) + (symbol (lib_name "LD1117S33TR_SOT223_1") (lib_id "Regulator_Linear:LD1117S33TR_SOT223") (at 118.11 27.94 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid e6d3e9eb-3882-4b2a-9e73-e52c1bff5774) - (property "Reference" "U1" (at 118.11 21.7932 0) + (property "Reference" "U?" (at 118.11 21.7932 0) (effects (font (size 1.27 1.27))) ) (property "Value" "LD1117S33" (at 118.11 24.1046 0) @@ -7020,12 +7427,12 @@ (instances (project "kicad-dart-70" (path "/7c83c304-769a-4be4-890e-297aba22b5b9" - (reference "U1") (unit 1) + (reference "U?") (unit 1) ) ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "U5") (unit 1) + (reference "U2") (unit 1) ) ) ) @@ -7034,7 +7441,7 @@ (symbol (lib_id "Device:R") (at 275.59 55.88 180) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid e6e903cb-d1d2-4c24-bf36-fcdf420f7e48) - (property "Reference" "R170" (at 278.13 55.245 0) + (property "Reference" "R?" (at 278.13 55.245 0) (effects (font (size 1.27 1.27)) (justify right)) ) (property "Value" "470" (at 278.13 57.785 0) @@ -7054,12 +7461,12 @@ (instances (project "kicad-dart-70" (path "/7c83c304-769a-4be4-890e-297aba22b5b9/2eb25ee2-d512-42fe-80f1-00400ed0a45e" - (reference "R170") (unit 1) + (reference "R?") (unit 1) ) ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "R13") (unit 1) + (reference "R25") (unit 1) ) ) ) @@ -7068,7 +7475,7 @@ (symbol (lib_id "Device:R") (at 250.19 107.95 180) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid e821c9d1-cd41-44aa-8931-f06e11218158) - (property "Reference" "R21" (at 247.65 106.6799 0) + (property "Reference" "R?" (at 247.65 106.6799 0) (effects (font (size 1.27 1.27)) (justify left)) ) (property "Value" "10k" (at 247.65 109.2199 0) @@ -7088,12 +7495,12 @@ (instances (project "kicad-dart-70" (path "/7c83c304-769a-4be4-890e-297aba22b5b9" - (reference "R21") (unit 1) + (reference "R?") (unit 1) ) ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "R15") (unit 1) + (reference "R21") (unit 1) ) ) ) @@ -7133,7 +7540,7 @@ (reference "C?") (unit 1) ) (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "C8") (unit 1) + (reference "C16") (unit 1) ) ) ) @@ -7142,7 +7549,7 @@ (symbol (lib_id "power:+BATT") (at 97.79 26.67 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid f2e79907-4ad1-4823-ae9c-4573166b1f27) - (property "Reference" "#PWR030" (at 97.79 30.48 0) + (property "Reference" "#PWR07" (at 97.79 30.48 0) (effects (font (size 1.27 1.27)) hide) ) (property "Value" "+BATT" (at 97.79 22.86 0) @@ -7158,7 +7565,7 @@ (instances (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "#PWR030") (unit 1) + (reference "#PWR07") (unit 1) ) ) ) @@ -7167,13 +7574,13 @@ (symbol (lib_id "Connector:Conn_Coaxial") (at 163.83 152.4 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid f523ef89-9142-480d-94c5-3249023e61bf) - (property "Reference" "J2" (at 167.64 152.0582 0) + (property "Reference" "J4" (at 167.64 152.0582 0) (effects (font (size 1.27 1.27)) (justify left)) ) (property "Value" "GNSS" (at 167.64 154.5982 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "" (at 163.83 152.4 0) + (property "Footprint" "Connector_Coaxial:SMA_Amphenol_132291_Vertical" (at 163.83 152.4 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" " ~" (at 163.83 152.4 0) @@ -7184,7 +7591,7 @@ (instances (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "J2") (unit 1) + (reference "J4") (unit 1) ) ) ) @@ -7217,7 +7624,7 @@ (reference "#PWR?") (unit 1) ) (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "#PWR011") (unit 1) + (reference "#PWR021") (unit 1) ) ) ) @@ -7257,7 +7664,7 @@ (reference "C?") (unit 1) ) (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "C13") (unit 1) + (reference "C24") (unit 1) ) ) ) @@ -7266,7 +7673,7 @@ (symbol (lib_id "power:+3V3") (at 128.27 27.94 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid f94b2eaf-0abb-4b38-a68c-79e8001d1b49) - (property "Reference" "#PWR028" (at 128.27 31.75 0) + (property "Reference" "#PWR?" (at 128.27 31.75 0) (effects (font (size 1.27 1.27)) hide) ) (property "Value" "+3V3" (at 128.27 22.86 0) @@ -7282,12 +7689,12 @@ (instances (project "kicad-dart-70" (path "/7c83c304-769a-4be4-890e-297aba22b5b9" - (reference "#PWR028") (unit 1) + (reference "#PWR?") (unit 1) ) ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "#PWR033") (unit 1) + (reference "#PWR015") (unit 1) ) ) ) @@ -7321,7 +7728,7 @@ (symbol (lib_id "Device:L_Small") (at 182.88 52.07 180) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid fafc182c-bad2-42da-87aa-64e2e721b5ea) - (property "Reference" "L12" (at 184.15 51.435 0) + (property "Reference" "L6" (at 184.15 51.435 0) (effects (font (size 1.27 1.27)) (justify right)) ) (property "Value" "22nH" (at 184.15 53.975 0) @@ -7341,7 +7748,7 @@ (instances (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "L12") (unit 1) + (reference "L6") (unit 1) ) ) ) @@ -7381,7 +7788,7 @@ (reference "C?") (unit 1) ) (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "C24") (unit 1) + (reference "C15") (unit 1) ) ) ) @@ -7414,7 +7821,7 @@ (reference "#PWR?") (unit 1) ) (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "#PWR048") (unit 1) + (reference "#PWR022") (unit 1) ) ) ) @@ -7423,7 +7830,7 @@ (symbol (lib_id "Device:L") (at 226.06 92.71 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid fd6bc953-18b4-454d-a15e-ecf7d7918d01) - (property "Reference" "L47" (at 227.33 92.075 0) + (property "Reference" "L?" (at 227.33 92.075 0) (effects (font (size 1.27 1.27)) (justify left)) ) (property "Value" "180nH" (at 227.33 94.615 0) @@ -7446,12 +7853,12 @@ (instances (project "kicad-dart-70" (path "/7c83c304-769a-4be4-890e-297aba22b5b9/2eb25ee2-d512-42fe-80f1-00400ed0a45e" - (reference "L47") (unit 1) + (reference "L?") (unit 1) ) ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "L14") (unit 1) + (reference "L11") (unit 1) ) ) ) @@ -7460,7 +7867,7 @@ (symbol (lib_id "Device:L") (at 261.62 80.01 270) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid fee49ab6-1c1c-42c9-bd67-189345fdf858) - (property "Reference" "L47" (at 261.62 76.2 90) + (property "Reference" "L?" (at 261.62 76.2 90) (effects (font (size 1.27 1.27))) ) (property "Value" "180nH" (at 261.62 78.74 90) @@ -7483,12 +7890,12 @@ (instances (project "kicad-dart-70" (path "/7c83c304-769a-4be4-890e-297aba22b5b9/2eb25ee2-d512-42fe-80f1-00400ed0a45e" - (reference "L47") (unit 1) + (reference "L?") (unit 1) ) ) (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53" - (reference "L9") (unit 1) + (reference "L13") (unit 1) ) ) ) @@ -7528,7 +7935,7 @@ (effects (font (size 1.27 1.27)) (justify left)) (uuid 04feab29-e94a-48da-93e7-51d24345e6a6) ) - (pin "EN_PA" output (at 86.36 69.85 0) + (pin "EN_PA" output (at 86.36 91.44 0) (effects (font (size 1.27 1.27)) (justify right)) (uuid cd4ca0c6-1afc-4da6-9866-10bb4c322f50) ) @@ -7540,14 +7947,22 @@ (effects (font (size 1.27 1.27)) (justify right)) (uuid a40af0ff-e07a-4454-a153-13eee437e769) ) - (pin "USART1_RX" input (at 86.36 95.25 0) + (pin "USART1_RX" input (at 86.36 101.6 0) (effects (font (size 1.27 1.27)) (justify right)) (uuid 790ae850-a011-43e2-93df-6104a937c686) ) - (pin "USART1_TX" output (at 86.36 97.79 0) + (pin "USART1_TX" output (at 86.36 104.14 0) (effects (font (size 1.27 1.27)) (justify right)) (uuid 9d61fbda-0b9e-44c3-9c31-332f9a4d32a5) ) + (pin "BAT_MEAS" input (at 86.36 69.85 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid bffa8c90-c692-43cd-980c-9acbab4d47b9) + ) + (pin "EN_RX" output (at 86.36 93.98 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 84780457-92f9-4d26-a23a-dca32a43b6d5) + ) (instances (project "tracker-kicad" (path "/c7284140-20d8-41a9-bb44-23c19c814c53" (page "2")) -- cgit v1.2.3