summaryrefslogtreecommitdiffstats
path: root/mictoled/mictoled_tb.v
diff options
context:
space:
mode:
Diffstat (limited to 'mictoled/mictoled_tb.v')
-rw-r--r--mictoled/mictoled_tb.v54
1 files changed, 54 insertions, 0 deletions
diff --git a/mictoled/mictoled_tb.v b/mictoled/mictoled_tb.v
new file mode 100644
index 0000000..d2681d3
--- /dev/null
+++ b/mictoled/mictoled_tb.v
@@ -0,0 +1,54 @@
+`timescale 1ns/1ns
+
+module mictoled_tb;
+ reg clk;
+ reg btn;
+
+ reg mic_dat;
+ reg[1:0] data[4000000];
+
+
+ wire led1;
+ wire led2;
+ wire led3;
+ wire led4;
+ wire led5;
+ wire led6;
+ wire led7;
+ wire led8;
+ wire lcol1;
+ wire lcol2;
+ wire lcol3;
+ wire lcol4;
+
+ wire mic_clk;
+
+ mictoled mictoled_1 (clk, btn,
+ mic_clk, mic_dat,
+ led1, led2, led3, led4, led5, led6, led7, led8, lcol1, lcol2, lcol3, lcol4);
+
+ always #1 clk = ~clk;
+
+ integer i;
+ initial begin
+ $dumpfile("mictoled_tb.vcd");
+ $dumpvars(0, mictoled_1);
+
+ // $monitor("Time = %0t clk = %0d sig = %0d", $time, clk, sig);
+
+ mic_dat = 0;
+ clk = 0;
+ btn = 1;
+ #10 btn = 0;
+
+ $readmemh("pdmgen/pdm.hex", data);
+ for (i = 0; i < 400000; i = i + 1) begin
+ wait (mic_clk == 1'b1);
+ mic_dat = data[i];
+ wait (mic_clk == 1'b0);
+ end
+ //wait (rdy) $display("y=%d", y);
+ $finish;
+ end
+
+endmodule