diff options
Diffstat (limited to 'blinky/Makefile')
-rw-r--r-- | blinky/Makefile | 12 |
1 files changed, 8 insertions, 4 deletions
diff --git a/blinky/Makefile b/blinky/Makefile index c254fc0..0345112 100644 --- a/blinky/Makefile +++ b/blinky/Makefile @@ -2,14 +2,13 @@ PROJ = blinky DEVICE = 8k -# Files FILES = blinky.v -.PHONY: blinky clean burn +.PHONY: blinky clean burn sim blinky: # synthesize using Yosys - yosys -p "synth_ice40 -top top -json $(PROJ).json" $(FILES) + yosys -p "synth_ice40 -top blinky -json $(PROJ).json" $(FILES) # Place and route using nextpnr nextpnr-ice40 -r --hx8k --json $(PROJ).json --package cb132 --asc $(PROJ).asc --opt-timing --pcf iceFUN.pcf @@ -19,5 +18,10 @@ blinky: burn: iceFUNprog $(PROJ).bin +sim: + iverilog -o blinky_tb blinky_tb.v blinky.v + vvp blinky_tb + gtkwave blinky_tb.vcd + clean: - rm *.asc *.bin *blif + rm -f *.asc *.bin *blif *.vcd $(PROG).json $(PROJ)_tb |