summaryrefslogtreecommitdiffstats
path: root/mictoled
diff options
context:
space:
mode:
authorMatthias P. Braendli <matthias.braendli@mpb.li>2024-12-16 16:53:54 +0100
committerMatthias P. Braendli <matthias.braendli@mpb.li>2024-12-16 16:53:54 +0100
commit8daccb896619f054c49a65a76b73e92fcce330e9 (patch)
tree407c96c7fdd2571b1623e8c32ee99b05fe7d779c /mictoled
parent959edc17ff8f4b71a258c3c66d25bd904fb98bf0 (diff)
downloadiceFUN-8daccb896619f054c49a65a76b73e92fcce330e9.tar.gz
iceFUN-8daccb896619f054c49a65a76b73e92fcce330e9.tar.bz2
iceFUN-8daccb896619f054c49a65a76b73e92fcce330e9.zip
mictoled: improve sim test data
Diffstat (limited to 'mictoled')
-rw-r--r--mictoled/.gitignore3
-rw-r--r--mictoled/Makefile29
-rw-r--r--mictoled/iceFUN.pcf18
-rw-r--r--mictoled/mictoled.json49172
-rw-r--r--mictoled/mictoled.v103
-rw-r--r--mictoled/mictoled_tb.v54
-rw-r--r--mictoled/pdmgen/.gitignore3
-rw-r--r--mictoled/pdmgen/Cargo.lock7
-rw-r--r--mictoled/pdmgen/Cargo.toml6
-rw-r--r--mictoled/pdmgen/plot.py16
-rw-r--r--mictoled/pdmgen/src/main.rs63
-rw-r--r--mictoled/readMe8
-rw-r--r--mictoled/wave.gtkw42
13 files changed, 49524 insertions, 0 deletions
diff --git a/mictoled/.gitignore b/mictoled/.gitignore
new file mode 100644
index 0000000..f8a83e8
--- /dev/null
+++ b/mictoled/.gitignore
@@ -0,0 +1,3 @@
+mictoled.json
+mictoled_tb
+mictoled_tb.vcd
diff --git a/mictoled/Makefile b/mictoled/Makefile
new file mode 100644
index 0000000..ecba897
--- /dev/null
+++ b/mictoled/Makefile
@@ -0,0 +1,29 @@
+# Project setup
+PROJ = mictoled
+DEVICE = 8k
+
+FILES = $(PROJ).v
+
+.PHONY: $(PROJ) clean burn sim
+
+$(PROJ):
+ # synthesize using Yosys
+ yosys -p "synth_ice40 -top $(PROJ) -json $(PROJ).json" $(FILES)
+ # Place and route using nextpnr
+ nextpnr-ice40 -r --hx8k --json $(PROJ).json --package cb132 --asc $(PROJ).asc --opt-timing --pcf iceFUN.pcf
+
+ # Convert to bitstream using IcePack
+ icepack $(PROJ).asc $(PROJ).bin
+
+burn:
+ iceFUNprog $(PROJ).bin
+
+sim:
+ iverilog -o $(PROJ)_tb $(PROJ)_tb.v $(PROJ).v
+ vvp $(PROJ)_tb
+
+wave:
+ gtkwave $(PROJ)_tb.vcd wave.gtkw
+
+clean:
+ rm -f *.asc *.bin *blif *.vcd $(PROG).json $(PROJ)_tb
diff --git a/mictoled/iceFUN.pcf b/mictoled/iceFUN.pcf
new file mode 100644
index 0000000..4baa5e9
--- /dev/null
+++ b/mictoled/iceFUN.pcf
@@ -0,0 +1,18 @@
+# For iceFUN board
+
+set_io --warn-no-port led1 C10
+set_io --warn-no-port led2 A10
+set_io --warn-no-port led3 D7
+set_io --warn-no-port led4 D6
+set_io --warn-no-port led5 A7
+set_io --warn-no-port led6 C7
+set_io --warn-no-port led7 A4
+set_io --warn-no-port led8 C4
+set_io --warn-no-port lcol1 A12
+set_io --warn-no-port lcol2 D10
+set_io --warn-no-port lcol3 A6
+set_io --warn-no-port lcol4 C5
+set_io --warn-no-port clk P7
+set_io --warn-no-port btn A5
+set_io --warn-no-port mic_clk P9
+set_io --warn-no-port mic_dat M9
diff --git a/mictoled/mictoled.json b/mictoled/mictoled.json
new file mode 100644
index 0000000..5282c59
--- /dev/null
+++ b/mictoled/mictoled.json
@@ -0,0 +1,49172 @@
+{
+ "creator": "Yosys 0.47+116 (git sha1 4b3c03dab, g++ 14.2.1 -march=x86-64 -mtune=generic -O2 -fno-plt -fexceptions -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/home/bram/.cache/paru/clone/yosys-nightly/src=/usr/src/debug/yosys-nightly -flto=auto -fPIC -O3)",
+ "modules": {
+ "$__ABC9_DELAY": {
+ "attributes": {
+ "blackbox": "00000000000000000000000000000001",
+ "cells_not_processed": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/abc9_model.v:2.1-7.10"
+ },
+ "parameter_default_values": {
+ "DELAY": "00000000000000000000000000000000"
+ },
+ "ports": {
+ "I": {
+ "direction": "input",
+ "bits": [ 2 ]
+ },
+ "O": {
+ "direction": "output",
+ "bits": [ 3 ]
+ }
+ },
+ "cells": {
+ "$specify$35677": {
+ "hide_name": 1,
+ "type": "$specify2",
+ "parameters": {
+ "DST_WIDTH": "00000000000000000000000000000001",
+ "FULL": "0",
+ "SRC_DST_PEN": "0",
+ "SRC_DST_POL": "0",
+ "SRC_WIDTH": "00000000000000000000000000000001",
+ "T_FALL_MAX": "00000000000000000000000000000000",
+ "T_FALL_MIN": "00000000000000000000000000000000",
+ "T_FALL_TYP": "00000000000000000000000000000000",
+ "T_RISE_MAX": "00000000000000000000000000000000",
+ "T_RISE_MIN": "00000000000000000000000000000000",
+ "T_RISE_TYP": "00000000000000000000000000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/abc9_model.v:5.5-5.22"
+ },
+ "port_directions": {
+ "DST": "input",
+ "EN": "input",
+ "SRC": "input"
+ },
+ "connections": {
+ "DST": [ 3 ],
+ "EN": [ "1" ],
+ "SRC": [ 2 ]
+ }
+ }
+ },
+ "netnames": {
+ "I": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/abc9_model.v:2.29-2.30"
+ }
+ },
+ "O": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/abc9_model.v:2.39-2.40"
+ }
+ }
+ }
+ },
+ "$__ABC9_SCC_BREAKER": {
+ "attributes": {
+ "dynports": "00000000000000000000000000000001",
+ "blackbox": "00000000000000000000000000000001",
+ "cells_not_processed": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/abc9_model.v:9.1-11.10"
+ },
+ "parameter_default_values": {
+ "WIDTH": "00000000000000000000000000000000"
+ },
+ "ports": {
+ "I": {
+ "direction": "input",
+ "offset": -1,
+ "upto": 1,
+ "bits": [ 2, 3 ]
+ },
+ "O": {
+ "direction": "output",
+ "offset": -1,
+ "upto": 1,
+ "bits": [ 4, 5 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "I": {
+ "hide_name": 0,
+ "bits": [ 2, 3 ],
+ "offset": -1,
+ "upto": 1,
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/abc9_model.v:9.47-9.48"
+ }
+ },
+ "O": {
+ "hide_name": 0,
+ "bits": [ 4, 5 ],
+ "offset": -1,
+ "upto": 1,
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/abc9_model.v:9.69-9.70"
+ }
+ }
+ }
+ },
+ "$__DFF_N__$abc9_flop": {
+ "attributes": {
+ "abc9_flop": "00000000000000000000000000000001",
+ "blackbox": "00000000000000000000000000000001",
+ "cells_not_processed": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/abc9_model.v:14.1-20.10"
+ },
+ "ports": {
+ "C": {
+ "direction": "input",
+ "bits": [ 2 ]
+ },
+ "D": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "Q": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "n1": {
+ "direction": "output",
+ "bits": [ 5 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "C": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/abc9_model.v:14.36-14.37"
+ }
+ },
+ "D": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/abc9_model.v:14.39-14.40"
+ }
+ },
+ "Q": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/abc9_model.v:14.42-14.43"
+ }
+ },
+ "n1": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/abc9_model.v:14.52-14.54"
+ }
+ }
+ }
+ },
+ "$__DFF_P__$abc9_flop": {
+ "attributes": {
+ "abc9_flop": "00000000000000000000000000000001",
+ "blackbox": "00000000000000000000000000000001",
+ "cells_not_processed": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/abc9_model.v:23.1-29.10"
+ },
+ "ports": {
+ "C": {
+ "direction": "input",
+ "bits": [ 2 ]
+ },
+ "D": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "Q": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "n1": {
+ "direction": "output",
+ "bits": [ 5 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "C": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/abc9_model.v:23.36-23.37"
+ }
+ },
+ "D": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/abc9_model.v:23.39-23.40"
+ }
+ },
+ "Q": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/abc9_model.v:23.42-23.43"
+ }
+ },
+ "n1": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/abc9_model.v:23.52-23.54"
+ }
+ }
+ }
+ },
+ "$__ICE40_CARRY_WRAPPER": {
+ "attributes": {
+ "blackbox": "00000000000000000000000000000001",
+ "cells_not_processed": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/abc9_model.v:2.1-86.10"
+ },
+ "parameter_default_values": {
+ "I3_IS_CI": "00000000000000000000000000000000",
+ "LUT": "00000000000000000000000000000000"
+ },
+ "ports": {
+ "CO": {
+ "direction": "output",
+ "bits": [ 2 ]
+ },
+ "O": {
+ "direction": "output",
+ "bits": [ 3 ]
+ },
+ "A": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "B": {
+ "direction": "input",
+ "bits": [ 5 ]
+ },
+ "CI": {
+ "direction": "input",
+ "bits": [ 6 ]
+ },
+ "I0": {
+ "direction": "input",
+ "bits": [ 7 ]
+ },
+ "I3": {
+ "direction": "input",
+ "bits": [ 8 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "A": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/abc9_model.v:6.8-6.9"
+ }
+ },
+ "B": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/abc9_model.v:6.11-6.12"
+ }
+ },
+ "CI": {
+ "hide_name": 0,
+ "bits": [ 6 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/abc9_model.v:8.8-8.10"
+ }
+ },
+ "CO": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "I0": {
+ "hide_name": 0,
+ "bits": [ 7 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/abc9_model.v:9.8-9.10"
+ }
+ },
+ "I3": {
+ "hide_name": 0,
+ "bits": [ 8 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/abc9_model.v:9.12-9.14"
+ }
+ },
+ "O": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/abc9_model.v:5.9-5.10"
+ }
+ }
+ }
+ },
+ "$paramod$__ABC9_DELAY\\DELAY=32'00000000000000000000000000010101": {
+ "attributes": {
+ "blackbox": "00000000000000000000000000000001",
+ "hdlname": "__ABC9_DELAY",
+ "cells_not_processed": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/abc9_model.v:2.1-7.10"
+ },
+ "parameter_default_values": {
+ "DELAY": "00000000000000000000000000010101"
+ },
+ "ports": {
+ "I": {
+ "direction": "input",
+ "bits": [ 2 ]
+ },
+ "O": {
+ "direction": "output",
+ "bits": [ 3 ]
+ }
+ },
+ "cells": {
+ "$specify$35677": {
+ "hide_name": 1,
+ "type": "$specify2",
+ "parameters": {
+ "DST_WIDTH": "00000000000000000000000000000001",
+ "FULL": "0",
+ "SRC_DST_PEN": "0",
+ "SRC_DST_POL": "0",
+ "SRC_WIDTH": "00000000000000000000000000000001",
+ "T_FALL_MAX": "00000000000000000000000000010101",
+ "T_FALL_MIN": "00000000000000000000000000010101",
+ "T_FALL_TYP": "00000000000000000000000000010101",
+ "T_RISE_MAX": "00000000000000000000000000010101",
+ "T_RISE_MIN": "00000000000000000000000000010101",
+ "T_RISE_TYP": "00000000000000000000000000010101"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/abc9_model.v:5.5-5.22"
+ },
+ "port_directions": {
+ "DST": "input",
+ "EN": "input",
+ "SRC": "input"
+ },
+ "connections": {
+ "DST": [ 3 ],
+ "EN": [ "1" ],
+ "SRC": [ 2 ]
+ }
+ }
+ },
+ "netnames": {
+ "I": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/abc9_model.v:2.29-2.30"
+ }
+ },
+ "O": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/abc9_model.v:2.39-2.40"
+ }
+ }
+ }
+ },
+ "$paramod$__ABC9_DELAY\\DELAY=32'00000000000000000000000011001011": {
+ "attributes": {
+ "blackbox": "00000000000000000000000000000001",
+ "hdlname": "__ABC9_DELAY",
+ "cells_not_processed": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/abc9_model.v:2.1-7.10"
+ },
+ "parameter_default_values": {
+ "DELAY": "00000000000000000000000011001011"
+ },
+ "ports": {
+ "I": {
+ "direction": "input",
+ "bits": [ 2 ]
+ },
+ "O": {
+ "direction": "output",
+ "bits": [ 3 ]
+ }
+ },
+ "cells": {
+ "$specify$35677": {
+ "hide_name": 1,
+ "type": "$specify2",
+ "parameters": {
+ "DST_WIDTH": "00000000000000000000000000000001",
+ "FULL": "0",
+ "SRC_DST_PEN": "0",
+ "SRC_DST_POL": "0",
+ "SRC_WIDTH": "00000000000000000000000000000001",
+ "T_FALL_MAX": "00000000000000000000000011001011",
+ "T_FALL_MIN": "00000000000000000000000011001011",
+ "T_FALL_TYP": "00000000000000000000000011001011",
+ "T_RISE_MAX": "00000000000000000000000011001011",
+ "T_RISE_MIN": "00000000000000000000000011001011",
+ "T_RISE_TYP": "00000000000000000000000011001011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/abc9_model.v:5.5-5.22"
+ },
+ "port_directions": {
+ "DST": "input",
+ "EN": "input",
+ "SRC": "input"
+ },
+ "connections": {
+ "DST": [ 3 ],
+ "EN": [ "1" ],
+ "SRC": [ 2 ]
+ }
+ }
+ },
+ "netnames": {
+ "I": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/abc9_model.v:2.29-2.30"
+ }
+ },
+ "O": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/abc9_model.v:2.39-2.40"
+ }
+ }
+ }
+ },
+ "$paramod$__ICE40_CARRY_WRAPPER\\LUT=16'0110100110010110\\I3_IS_CI=1'1": {
+ "attributes": {
+ "hdlname": "__ICE40_CARRY_WRAPPER",
+ "blackbox": "00000000000000000000000000000001",
+ "cells_not_processed": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/abc9_model.v:2.1-86.10"
+ },
+ "parameter_default_values": {
+ "I3_IS_CI": "1",
+ "LUT": "0110100110010110"
+ },
+ "ports": {
+ "CO": {
+ "direction": "output",
+ "bits": [ 2 ]
+ },
+ "O": {
+ "direction": "output",
+ "bits": [ 3 ]
+ },
+ "A": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "B": {
+ "direction": "input",
+ "bits": [ 5 ]
+ },
+ "CI": {
+ "direction": "input",
+ "bits": [ 6 ]
+ },
+ "I0": {
+ "direction": "input",
+ "bits": [ 7 ]
+ },
+ "I3": {
+ "direction": "input",
+ "bits": [ 8 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "A": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/abc9_model.v:6.8-6.9"
+ }
+ },
+ "B": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/abc9_model.v:6.11-6.12"
+ }
+ },
+ "CI": {
+ "hide_name": 0,
+ "bits": [ 6 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/abc9_model.v:8.8-8.10"
+ }
+ },
+ "CO": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "I0": {
+ "hide_name": 0,
+ "bits": [ 7 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/abc9_model.v:9.8-9.10"
+ }
+ },
+ "I3": {
+ "hide_name": 0,
+ "bits": [ 8 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/abc9_model.v:9.12-9.14"
+ }
+ },
+ "O": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/abc9_model.v:5.9-5.10"
+ }
+ }
+ }
+ },
+ "ICESTORM_LC": {
+ "attributes": {
+ "blackbox": "00000000000000000000000000000001",
+ "cells_not_processed": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2136.1-2420.10"
+ },
+ "parameter_default_values": {
+ "ASYNC_SR": "0",
+ "CARRY_ENABLE": "0",
+ "CIN_CONST": "0",
+ "CIN_SET": "0",
+ "DFF_ENABLE": "0",
+ "LUT_INIT": "0000000000000000",
+ "NEG_CLK": "0",
+ "SET_NORESET": "0"
+ },
+ "ports": {
+ "I0": {
+ "direction": "input",
+ "bits": [ 2 ]
+ },
+ "I1": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "I2": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "I3": {
+ "direction": "input",
+ "bits": [ 5 ]
+ },
+ "CIN": {
+ "direction": "input",
+ "bits": [ 6 ]
+ },
+ "CLK": {
+ "direction": "input",
+ "bits": [ 7 ]
+ },
+ "CEN": {
+ "direction": "input",
+ "bits": [ 8 ]
+ },
+ "SR": {
+ "direction": "input",
+ "bits": [ 9 ]
+ },
+ "LO": {
+ "direction": "output",
+ "bits": [ 10 ]
+ },
+ "O": {
+ "direction": "output",
+ "bits": [ 11 ]
+ },
+ "COUT": {
+ "direction": "output",
+ "bits": [ 12 ]
+ }
+ },
+ "cells": {
+ "$specify$126": {
+ "hide_name": 1,
+ "type": "$specify2",
+ "parameters": {
+ "DST_WIDTH": "00000000000000000000000000000001",
+ "FULL": "0",
+ "SRC_DST_PEN": "0",
+ "SRC_DST_POL": "0",
+ "SRC_WIDTH": "00000000000000000000000000000001",
+ "T_FALL_MAX": "00000000000000000000000001101001",
+ "T_FALL_MIN": "00000000000000000000000001010101",
+ "T_FALL_TYP": "00000000000000000000000001011110",
+ "T_RISE_MAX": "00000000000000000000000001111110",
+ "T_RISE_MIN": "00000000000000000000000001100101",
+ "T_RISE_TYP": "00000000000000000000000001110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2231.2-2231.43"
+ },
+ "port_directions": {
+ "DST": "input",
+ "EN": "input",
+ "SRC": "input"
+ },
+ "connections": {
+ "DST": [ 12 ],
+ "EN": [ "1" ],
+ "SRC": [ 6 ]
+ }
+ },
+ "$specify$127": {
+ "hide_name": 1,
+ "type": "$specify2",
+ "parameters": {
+ "DST_WIDTH": "00000000000000000000000000000001",
+ "FULL": "0",
+ "SRC_DST_PEN": "0",
+ "SRC_DST_POL": "0",
+ "SRC_WIDTH": "00000000000000000000000000000001",
+ "T_FALL_MAX": "00000000000000000000000110000010",
+ "T_FALL_MIN": "00000000000000000000000100110110",
+ "T_FALL_TYP": "00000000000000000000000101010111",
+ "T_RISE_MAX": "00000000000000000000000111000001",
+ "T_RISE_MIN": "00000000000000000000000101101001",
+ "T_RISE_TYP": "00000000000000000000000110001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2233.2-2233.41"
+ },
+ "port_directions": {
+ "DST": "input",
+ "EN": "input",
+ "SRC": "input"
+ },
+ "connections": {
+ "DST": [ 11 ],
+ "EN": [ "1" ],
+ "SRC": [ 2 ]
+ }
+ },
+ "$specify$128": {
+ "hide_name": 1,
+ "type": "$specify2",
+ "parameters": {
+ "DST_WIDTH": "00000000000000000000000000000001",
+ "FULL": "0",
+ "SRC_DST_PEN": "0",
+ "SRC_DST_POL": "0",
+ "SRC_WIDTH": "00000000000000000000000000000001",
+ "T_FALL_MAX": "00000000000000000000000110000010",
+ "T_FALL_MIN": "00000000000000000000000100110110",
+ "T_FALL_TYP": "00000000000000000000000101010111",
+ "T_RISE_MAX": "00000000000000000000000101101101",
+ "T_RISE_MIN": "00000000000000000000000100100101",
+ "T_RISE_TYP": "00000000000000000000000101000100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2235.2-2235.42"
+ },
+ "port_directions": {
+ "DST": "input",
+ "EN": "input",
+ "SRC": "input"
+ },
+ "connections": {
+ "DST": [ 10 ],
+ "EN": [ "1" ],
+ "SRC": [ 2 ]
+ }
+ },
+ "$specify$129": {
+ "hide_name": 1,
+ "type": "$specify2",
+ "parameters": {
+ "DST_WIDTH": "00000000000000000000000000000001",
+ "FULL": "0",
+ "SRC_DST_PEN": "0",
+ "SRC_DST_POL": "0",
+ "SRC_WIDTH": "00000000000000000000000000000001",
+ "T_FALL_MAX": "00000000000000000000000011110101",
+ "T_FALL_MIN": "00000000000000000000000011000101",
+ "T_FALL_TYP": "00000000000000000000000011011010",
+ "T_RISE_MAX": "00000000000000000000000100000011",
+ "T_RISE_MIN": "00000000000000000000000011010001",
+ "T_RISE_TYP": "00000000000000000000000011100111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2237.2-2237.44"
+ },
+ "port_directions": {
+ "DST": "input",
+ "EN": "input",
+ "SRC": "input"
+ },
+ "connections": {
+ "DST": [ 12 ],
+ "EN": [ "1" ],
+ "SRC": [ 3 ]
+ }
+ },
+ "$specify$130": {
+ "hide_name": 1,
+ "type": "$specify2",
+ "parameters": {
+ "DST_WIDTH": "00000000000000000000000000000001",
+ "FULL": "0",
+ "SRC_DST_PEN": "0",
+ "SRC_DST_POL": "0",
+ "SRC_WIDTH": "00000000000000000000000000000001",
+ "T_FALL_MAX": "00000000000000000000000101111011",
+ "T_FALL_MIN": "00000000000000000000000100110000",
+ "T_FALL_TYP": "00000000000000000000000101010001",
+ "T_RISE_MAX": "00000000000000000000000110010000",
+ "T_RISE_MIN": "00000000000000000000000101000001",
+ "T_RISE_TYP": "00000000000000000000000101100011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2239.2-2239.41"
+ },
+ "port_directions": {
+ "DST": "input",
+ "EN": "input",
+ "SRC": "input"
+ },
+ "connections": {
+ "DST": [ 11 ],
+ "EN": [ "1" ],
+ "SRC": [ 3 ]
+ }
+ },
+ "$specify$131": {
+ "hide_name": 1,
+ "type": "$specify2",
+ "parameters": {
+ "DST_WIDTH": "00000000000000000000000000000001",
+ "FULL": "0",
+ "SRC_DST_PEN": "0",
+ "SRC_DST_POL": "0",
+ "SRC_WIDTH": "00000000000000000000000000000001",
+ "T_FALL_MAX": "00000000000000000000000101111011",
+ "T_FALL_MIN": "00000000000000000000000100110000",
+ "T_FALL_TYP": "00000000000000000000000101010001",
+ "T_RISE_MAX": "00000000000000000000000101000011",
+ "T_RISE_MIN": "00000000000000000000000100000011",
+ "T_RISE_TYP": "00000000000000000000000100011111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2241.2-2241.42"
+ },
+ "port_directions": {
+ "DST": "input",
+ "EN": "input",
+ "SRC": "input"
+ },
+ "connections": {
+ "DST": [ 10 ],
+ "EN": [ "1" ],
+ "SRC": [ 3 ]
+ }
+ },
+ "$specify$132": {
+ "hide_name": 1,
+ "type": "$specify2",
+ "parameters": {
+ "DST_WIDTH": "00000000000000000000000000000001",
+ "FULL": "0",
+ "SRC_DST_PEN": "0",
+ "SRC_DST_POL": "0",
+ "SRC_WIDTH": "00000000000000000000000000000001",
+ "T_FALL_MAX": "00000000000000000000000010000101",
+ "T_FALL_MIN": "00000000000000000000000001101011",
+ "T_FALL_TYP": "00000000000000000000000001110110",
+ "T_RISE_MAX": "00000000000000000000000011100111",
+ "T_RISE_MIN": "00000000000000000000000010111010",
+ "T_RISE_TYP": "00000000000000000000000011001110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2243.2-2243.44"
+ },
+ "port_directions": {
+ "DST": "input",
+ "EN": "input",
+ "SRC": "input"
+ },
+ "connections": {
+ "DST": [ 12 ],
+ "EN": [ "1" ],
+ "SRC": [ 4 ]
+ }
+ },
+ "$specify$133": {
+ "hide_name": 1,
+ "type": "$specify2",
+ "parameters": {
+ "DST_WIDTH": "00000000000000000000000000000001",
+ "FULL": "0",
+ "SRC_DST_PEN": "0",
+ "SRC_DST_POL": "0",
+ "SRC_WIDTH": "00000000000000000000000000000001",
+ "T_FALL_MAX": "00000000000000000000000101011111",
+ "T_FALL_MIN": "00000000000000000000000100011010",
+ "T_FALL_TYP": "00000000000000000000000100111000",
+ "T_RISE_MAX": "00000000000000000000000101111011",
+ "T_RISE_MIN": "00000000000000000000000100110000",
+ "T_RISE_TYP": "00000000000000000000000101010001"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2245.2-2245.41"
+ },
+ "port_directions": {
+ "DST": "input",
+ "EN": "input",
+ "SRC": "input"
+ },
+ "connections": {
+ "DST": [ 11 ],
+ "EN": [ "1" ],
+ "SRC": [ 4 ]
+ }
+ },
+ "$specify$134": {
+ "hide_name": 1,
+ "type": "$specify2",
+ "parameters": {
+ "DST_WIDTH": "00000000000000000000000000000001",
+ "FULL": "0",
+ "SRC_DST_PEN": "0",
+ "SRC_DST_POL": "0",
+ "SRC_WIDTH": "00000000000000000000000000000001",
+ "T_FALL_MAX": "00000000000000000000000100100000",
+ "T_FALL_MIN": "00000000000000000000000011100111",
+ "T_FALL_TYP": "00000000000000000000000100000000",
+ "T_RISE_MAX": "00000000000000000000000100111100",
+ "T_RISE_MIN": "00000000000000000000000011111110",
+ "T_RISE_TYP": "00000000000000000000000100011001"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2247.2-2247.42"
+ },
+ "port_directions": {
+ "DST": "input",
+ "EN": "input",
+ "SRC": "input"
+ },
+ "connections": {
+ "DST": [ 10 ],
+ "EN": [ "1" ],
+ "SRC": [ 4 ]
+ }
+ },
+ "$specify$135": {
+ "hide_name": 1,
+ "type": "$specify2",
+ "parameters": {
+ "DST_WIDTH": "00000000000000000000000000000001",
+ "FULL": "0",
+ "SRC_DST_PEN": "0",
+ "SRC_DST_POL": "0",
+ "SRC_WIDTH": "00000000000000000000000000000001",
+ "T_FALL_MAX": "00000000000000000000000100100000",
+ "T_FALL_MIN": "00000000000000000000000011100111",
+ "T_FALL_TYP": "00000000000000000000000100000000",
+ "T_RISE_MAX": "00000000000000000000000100111100",
+ "T_RISE_MIN": "00000000000000000000000011111110",
+ "T_RISE_TYP": "00000000000000000000000100011001"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2249.2-2249.41"
+ },
+ "port_directions": {
+ "DST": "input",
+ "EN": "input",
+ "SRC": "input"
+ },
+ "connections": {
+ "DST": [ 11 ],
+ "EN": [ "1" ],
+ "SRC": [ 5 ]
+ }
+ },
+ "$specify$136": {
+ "hide_name": 1,
+ "type": "$specify2",
+ "parameters": {
+ "DST_WIDTH": "00000000000000000000000000000001",
+ "FULL": "0",
+ "SRC_DST_PEN": "0",
+ "SRC_DST_POL": "0",
+ "SRC_WIDTH": "00000000000000000000000000000001",
+ "T_FALL_MAX": "00000000000000000000000100010010",
+ "T_FALL_MIN": "00000000000000000000000011011100",
+ "T_FALL_TYP": "00000000000000000000000011110011",
+ "T_RISE_MAX": "00000000000000000000000100001011",
+ "T_RISE_MIN": "00000000000000000000000011010110",
+ "T_RISE_TYP": "00000000000000000000000011101101"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2251.2-2251.42"
+ },
+ "port_directions": {
+ "DST": "input",
+ "EN": "input",
+ "SRC": "input"
+ },
+ "connections": {
+ "DST": [ 10 ],
+ "EN": [ "1" ],
+ "SRC": [ 5 ]
+ }
+ },
+ "$specify$137": {
+ "hide_name": 1,
+ "type": "$specify3",
+ "parameters": {
+ "DAT_DST_PEN": "0",
+ "DAT_DST_POL": "0",
+ "DST_WIDTH": "00000000000000000000000000000001",
+ "EDGE_EN": "1",
+ "EDGE_POL": "1",
+ "FULL": "0",
+ "SRC_DST_PEN": "0",
+ "SRC_DST_POL": "0",
+ "SRC_WIDTH": "00000000000000000000000000000001",
+ "T_FALL_MAX": "00000000000000000000001000011100",
+ "T_FALL_MIN": "00000000000000000000000110110010",
+ "T_FALL_TYP": "00000000000000000000000111100000",
+ "T_RISE_MAX": "00000000000000000000001000011100",
+ "T_RISE_MIN": "00000000000000000000000110110010",
+ "T_RISE_TYP": "00000000000000000000000111100000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2253.2-2253.59"
+ },
+ "port_directions": {
+ "DAT": "input",
+ "DST": "input",
+ "EN": "input",
+ "SRC": "input"
+ },
+ "connections": {
+ "DAT": [ "x" ],
+ "DST": [ 11 ],
+ "EN": [ "1" ],
+ "SRC": [ 7 ]
+ }
+ },
+ "$specify$138": {
+ "hide_name": 1,
+ "type": "$specify2",
+ "parameters": {
+ "DST_WIDTH": "00000000000000000000000000000001",
+ "FULL": "0",
+ "SRC_DST_PEN": "0",
+ "SRC_DST_POL": "0",
+ "SRC_WIDTH": "00000000000000000000000000000001",
+ "T_FALL_MAX": "00000000000000000000001001010111",
+ "T_FALL_MIN": "00000000000000000000000111100010",
+ "T_FALL_TYP": "00000000000000000000001000010101",
+ "T_RISE_MAX": "00000000000000000000001001010111",
+ "T_RISE_MIN": "00000000000000000000000111100010",
+ "T_RISE_TYP": "00000000000000000000001000010111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2255.2-2255.41"
+ },
+ "port_directions": {
+ "DST": "input",
+ "EN": "input",
+ "SRC": "input"
+ },
+ "connections": {
+ "DST": [ 11 ],
+ "EN": [ "1" ],
+ "SRC": [ 9 ]
+ }
+ },
+ "$specify$139": {
+ "hide_name": 1,
+ "type": "$specrule",
+ "parameters": {
+ "DST_PEN": "1",
+ "DST_POL": "1",
+ "DST_WIDTH": "00000000000000000000000000000001",
+ "SRC_PEN": "1",
+ "SRC_POL": "1",
+ "SRC_WIDTH": "00000000000000000000000000000001",
+ "TYPE": "$setuphold",
+ "T_LIMIT2_MAX": "00000000000000000000000000000000",
+ "T_LIMIT2_MIN": "00000000000000000000000000000000",
+ "T_LIMIT2_TYP": "00000000000000000000000000000000",
+ "T_LIMIT_MAX": "00000000000000000000000111010110",
+ "T_LIMIT_MIN": "00000000000000000000000101111010",
+ "T_LIMIT_TYP": "00000000000000000000000110100010"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2257.2-2257.58"
+ },
+ "port_directions": {
+ "DST": "input",
+ "DST_EN": "output",
+ "SRC": "input",
+ "SRC_EN": "output"
+ },
+ "connections": {
+ "DST": [ 2 ],
+ "DST_EN": [ "1" ],
+ "SRC": [ 7 ],
+ "SRC_EN": [ "1" ]
+ }
+ },
+ "$specify$140": {
+ "hide_name": 1,
+ "type": "$specrule",
+ "parameters": {
+ "DST_PEN": "1",
+ "DST_POL": "0",
+ "DST_WIDTH": "00000000000000000000000000000001",
+ "SRC_PEN": "1",
+ "SRC_POL": "1",
+ "SRC_WIDTH": "00000000000000000000000000000001",
+ "TYPE": "$setuphold",
+ "T_LIMIT2_MAX": "00000000000000000000000000000000",
+ "T_LIMIT2_MIN": "00000000000000000000000000000000",
+ "T_LIMIT2_TYP": "00000000000000000000000000000000",
+ "T_LIMIT_MAX": "00000000000000000000000110010000",
+ "T_LIMIT_MIN": "00000000000000000000000101000001",
+ "T_LIMIT_TYP": "00000000000000000000000101100011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2259.2-2259.58"
+ },
+ "port_directions": {
+ "DST": "input",
+ "DST_EN": "output",
+ "SRC": "input",
+ "SRC_EN": "output"
+ },
+ "connections": {
+ "DST": [ 2 ],
+ "DST_EN": [ "1" ],
+ "SRC": [ 7 ],
+ "SRC_EN": [ "1" ]
+ }
+ },
+ "$specify$141": {
+ "hide_name": 1,
+ "type": "$specrule",
+ "parameters": {
+ "DST_PEN": "1",
+ "DST_POL": "1",
+ "DST_WIDTH": "00000000000000000000000000000001",
+ "SRC_PEN": "1",
+ "SRC_POL": "0",
+ "SRC_WIDTH": "00000000000000000000000000000001",
+ "TYPE": "$setuphold",
+ "T_LIMIT2_MAX": "00000000000000000000000000000000",
+ "T_LIMIT2_MIN": "00000000000000000000000000000000",
+ "T_LIMIT2_TYP": "00000000000000000000000000000000",
+ "T_LIMIT_MAX": "00000000000000000000000111010110",
+ "T_LIMIT_MIN": "00000000000000000000000101111010",
+ "T_LIMIT_TYP": "00000000000000000000000110100010"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2260.2-2260.58"
+ },
+ "port_directions": {
+ "DST": "input",
+ "DST_EN": "output",
+ "SRC": "input",
+ "SRC_EN": "output"
+ },
+ "connections": {
+ "DST": [ 2 ],
+ "DST_EN": [ "1" ],
+ "SRC": [ 7 ],
+ "SRC_EN": [ "1" ]
+ }
+ },
+ "$specify$142": {
+ "hide_name": 1,
+ "type": "$specrule",
+ "parameters": {
+ "DST_PEN": "1",
+ "DST_POL": "0",
+ "DST_WIDTH": "00000000000000000000000000000001",
+ "SRC_PEN": "1",
+ "SRC_POL": "0",
+ "SRC_WIDTH": "00000000000000000000000000000001",
+ "TYPE": "$setuphold",
+ "T_LIMIT2_MAX": "00000000000000000000000000000000",
+ "T_LIMIT2_MIN": "00000000000000000000000000000000",
+ "T_LIMIT2_TYP": "00000000000000000000000000000000",
+ "T_LIMIT_MAX": "00000000000000000000000110010000",
+ "T_LIMIT_MIN": "00000000000000000000000101000001",
+ "T_LIMIT_TYP": "00000000000000000000000101100011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2261.2-2261.58"
+ },
+ "port_directions": {
+ "DST": "input",
+ "DST_EN": "output",
+ "SRC": "input",
+ "SRC_EN": "output"
+ },
+ "connections": {
+ "DST": [ 2 ],
+ "DST_EN": [ "1" ],
+ "SRC": [ 7 ],
+ "SRC_EN": [ "1" ]
+ }
+ },
+ "$specify$143": {
+ "hide_name": 1,
+ "type": "$specrule",
+ "parameters": {
+ "DST_PEN": "1",
+ "DST_POL": "1",
+ "DST_WIDTH": "00000000000000000000000000000001",
+ "SRC_PEN": "1",
+ "SRC_POL": "1",
+ "SRC_WIDTH": "00000000000000000000000000000001",
+ "TYPE": "$setuphold",
+ "T_LIMIT2_MAX": "00000000000000000000000000000000",
+ "T_LIMIT2_MIN": "00000000000000000000000000000000",
+ "T_LIMIT2_TYP": "00000000000000000000000000000000",
+ "T_LIMIT_MAX": "00000000000000000000000110010000",
+ "T_LIMIT_MIN": "00000000000000000000000101000001",
+ "T_LIMIT_TYP": "00000000000000000000000101100011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2263.2-2263.58"
+ },
+ "port_directions": {
+ "DST": "input",
+ "DST_EN": "output",
+ "SRC": "input",
+ "SRC_EN": "output"
+ },
+ "connections": {
+ "DST": [ 3 ],
+ "DST_EN": [ "1" ],
+ "SRC": [ 7 ],
+ "SRC_EN": [ "1" ]
+ }
+ },
+ "$specify$144": {
+ "hide_name": 1,
+ "type": "$specrule",
+ "parameters": {
+ "DST_PEN": "1",
+ "DST_POL": "0",
+ "DST_WIDTH": "00000000000000000000000000000001",
+ "SRC_PEN": "1",
+ "SRC_POL": "1",
+ "SRC_WIDTH": "00000000000000000000000000000001",
+ "TYPE": "$setuphold",
+ "T_LIMIT2_MAX": "00000000000000000000000000000000",
+ "T_LIMIT2_MIN": "00000000000000000000000000000000",
+ "T_LIMIT2_TYP": "00000000000000000000000000000000",
+ "T_LIMIT_MAX": "00000000000000000000000101111011",
+ "T_LIMIT_MIN": "00000000000000000000000100110000",
+ "T_LIMIT_TYP": "00000000000000000000000101010001"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2265.2-2265.58"
+ },
+ "port_directions": {
+ "DST": "input",
+ "DST_EN": "output",
+ "SRC": "input",
+ "SRC_EN": "output"
+ },
+ "connections": {
+ "DST": [ 3 ],
+ "DST_EN": [ "1" ],
+ "SRC": [ 7 ],
+ "SRC_EN": [ "1" ]
+ }
+ },
+ "$specify$145": {
+ "hide_name": 1,
+ "type": "$specrule",
+ "parameters": {
+ "DST_PEN": "1",
+ "DST_POL": "1",
+ "DST_WIDTH": "00000000000000000000000000000001",
+ "SRC_PEN": "1",
+ "SRC_POL": "0",
+ "SRC_WIDTH": "00000000000000000000000000000001",
+ "TYPE": "$setuphold",
+ "T_LIMIT2_MAX": "00000000000000000000000000000000",
+ "T_LIMIT2_MIN": "00000000000000000000000000000000",
+ "T_LIMIT2_TYP": "00000000000000000000000000000000",
+ "T_LIMIT_MAX": "00000000000000000000000110010000",
+ "T_LIMIT_MIN": "00000000000000000000000101000001",
+ "T_LIMIT_TYP": "00000000000000000000000101100011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2266.2-2266.58"
+ },
+ "port_directions": {
+ "DST": "input",
+ "DST_EN": "output",
+ "SRC": "input",
+ "SRC_EN": "output"
+ },
+ "connections": {
+ "DST": [ 3 ],
+ "DST_EN": [ "1" ],
+ "SRC": [ 7 ],
+ "SRC_EN": [ "1" ]
+ }
+ },
+ "$specify$146": {
+ "hide_name": 1,
+ "type": "$specrule",
+ "parameters": {
+ "DST_PEN": "1",
+ "DST_POL": "0",
+ "DST_WIDTH": "00000000000000000000000000000001",
+ "SRC_PEN": "1",
+ "SRC_POL": "0",
+ "SRC_WIDTH": "00000000000000000000000000000001",
+ "TYPE": "$setuphold",
+ "T_LIMIT2_MAX": "00000000000000000000000000000000",
+ "T_LIMIT2_MIN": "00000000000000000000000000000000",
+ "T_LIMIT2_TYP": "00000000000000000000000000000000",
+ "T_LIMIT_MAX": "00000000000000000000000101111011",
+ "T_LIMIT_MIN": "00000000000000000000000100110000",
+ "T_LIMIT_TYP": "00000000000000000000000101010001"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2267.2-2267.58"
+ },
+ "port_directions": {
+ "DST": "input",
+ "DST_EN": "output",
+ "SRC": "input",
+ "SRC_EN": "output"
+ },
+ "connections": {
+ "DST": [ 3 ],
+ "DST_EN": [ "1" ],
+ "SRC": [ 7 ],
+ "SRC_EN": [ "1" ]
+ }
+ },
+ "$specify$147": {
+ "hide_name": 1,
+ "type": "$specrule",
+ "parameters": {
+ "DST_PEN": "1",
+ "DST_POL": "1",
+ "DST_WIDTH": "00000000000000000000000000000001",
+ "SRC_PEN": "1",
+ "SRC_POL": "1",
+ "SRC_WIDTH": "00000000000000000000000000000001",
+ "TYPE": "$setuphold",
+ "T_LIMIT2_MAX": "00000000000000000000000000000000",
+ "T_LIMIT2_MIN": "00000000000000000000000000000000",
+ "T_LIMIT2_TYP": "00000000000000000000000000000000",
+ "T_LIMIT_MAX": "00000000000000000000000101110100",
+ "T_LIMIT_MIN": "00000000000000000000000100101011",
+ "T_LIMIT_TYP": "00000000000000000000000101001010"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2269.2-2269.58"
+ },
+ "port_directions": {
+ "DST": "input",
+ "DST_EN": "output",
+ "SRC": "input",
+ "SRC_EN": "output"
+ },
+ "connections": {
+ "DST": [ 4 ],
+ "DST_EN": [ "1" ],
+ "SRC": [ 7 ],
+ "SRC_EN": [ "1" ]
+ }
+ },
+ "$specify$148": {
+ "hide_name": 1,
+ "type": "$specrule",
+ "parameters": {
+ "DST_PEN": "1",
+ "DST_POL": "0",
+ "DST_WIDTH": "00000000000000000000000000000001",
+ "SRC_PEN": "1",
+ "SRC_POL": "1",
+ "SRC_WIDTH": "00000000000000000000000000000001",
+ "TYPE": "$setuphold",
+ "T_LIMIT2_MAX": "00000000000000000000000000000000",
+ "T_LIMIT2_MIN": "00000000000000000000000000000000",
+ "T_LIMIT2_TYP": "00000000000000000000000000000000",
+ "T_LIMIT_MAX": "00000000000000000000000101000011",
+ "T_LIMIT_MIN": "00000000000000000000000100000011",
+ "T_LIMIT_TYP": "00000000000000000000000100011111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2271.2-2271.58"
+ },
+ "port_directions": {
+ "DST": "input",
+ "DST_EN": "output",
+ "SRC": "input",
+ "SRC_EN": "output"
+ },
+ "connections": {
+ "DST": [ 4 ],
+ "DST_EN": [ "1" ],
+ "SRC": [ 7 ],
+ "SRC_EN": [ "1" ]
+ }
+ },
+ "$specify$149": {
+ "hide_name": 1,
+ "type": "$specrule",
+ "parameters": {
+ "DST_PEN": "1",
+ "DST_POL": "1",
+ "DST_WIDTH": "00000000000000000000000000000001",
+ "SRC_PEN": "1",
+ "SRC_POL": "0",
+ "SRC_WIDTH": "00000000000000000000000000000001",
+ "TYPE": "$setuphold",
+ "T_LIMIT2_MAX": "00000000000000000000000000000000",
+ "T_LIMIT2_MIN": "00000000000000000000000000000000",
+ "T_LIMIT2_TYP": "00000000000000000000000000000000",
+ "T_LIMIT_MAX": "00000000000000000000000101110100",
+ "T_LIMIT_MIN": "00000000000000000000000100101011",
+ "T_LIMIT_TYP": "00000000000000000000000101001010"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2272.2-2272.58"
+ },
+ "port_directions": {
+ "DST": "input",
+ "DST_EN": "output",
+ "SRC": "input",
+ "SRC_EN": "output"
+ },
+ "connections": {
+ "DST": [ 4 ],
+ "DST_EN": [ "1" ],
+ "SRC": [ 7 ],
+ "SRC_EN": [ "1" ]
+ }
+ },
+ "$specify$150": {
+ "hide_name": 1,
+ "type": "$specrule",
+ "parameters": {
+ "DST_PEN": "1",
+ "DST_POL": "0",
+ "DST_WIDTH": "00000000000000000000000000000001",
+ "SRC_PEN": "1",
+ "SRC_POL": "0",
+ "SRC_WIDTH": "00000000000000000000000000000001",
+ "TYPE": "$setuphold",
+ "T_LIMIT2_MAX": "00000000000000000000000000000000",
+ "T_LIMIT2_MIN": "00000000000000000000000000000000",
+ "T_LIMIT2_TYP": "00000000000000000000000000000000",
+ "T_LIMIT_MAX": "00000000000000000000000101000011",
+ "T_LIMIT_MIN": "00000000000000000000000100000011",
+ "T_LIMIT_TYP": "00000000000000000000000100011111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2273.2-2273.58"
+ },
+ "port_directions": {
+ "DST": "input",
+ "DST_EN": "output",
+ "SRC": "input",
+ "SRC_EN": "output"
+ },
+ "connections": {
+ "DST": [ 4 ],
+ "DST_EN": [ "1" ],
+ "SRC": [ 7 ],
+ "SRC_EN": [ "1" ]
+ }
+ },
+ "$specify$151": {
+ "hide_name": 1,
+ "type": "$specrule",
+ "parameters": {
+ "DST_PEN": "1",
+ "DST_POL": "1",
+ "DST_WIDTH": "00000000000000000000000000000001",
+ "SRC_PEN": "1",
+ "SRC_POL": "1",
+ "SRC_WIDTH": "00000000000000000000000000000001",
+ "TYPE": "$setuphold",
+ "T_LIMIT2_MAX": "00000000000000000000000000000000",
+ "T_LIMIT2_MIN": "00000000000000000000000000000000",
+ "T_LIMIT2_TYP": "00000000000000000000000000000000",
+ "T_LIMIT_MAX": "00000000000000000000000100010010",
+ "T_LIMIT_MIN": "00000000000000000000000011011100",
+ "T_LIMIT_TYP": "00000000000000000000000011110011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2275.2-2275.58"
+ },
+ "port_directions": {
+ "DST": "input",
+ "DST_EN": "output",
+ "SRC": "input",
+ "SRC_EN": "output"
+ },
+ "connections": {
+ "DST": [ 5 ],
+ "DST_EN": [ "1" ],
+ "SRC": [ 7 ],
+ "SRC_EN": [ "1" ]
+ }
+ },
+ "$specify$152": {
+ "hide_name": 1,
+ "type": "$specrule",
+ "parameters": {
+ "DST_PEN": "1",
+ "DST_POL": "0",
+ "DST_WIDTH": "00000000000000000000000000000001",
+ "SRC_PEN": "1",
+ "SRC_POL": "1",
+ "SRC_WIDTH": "00000000000000000000000000000001",
+ "TYPE": "$setuphold",
+ "T_LIMIT2_MAX": "00000000000000000000000000000000",
+ "T_LIMIT2_MIN": "00000000000000000000000000000000",
+ "T_LIMIT2_TYP": "00000000000000000000000000000000",
+ "T_LIMIT_MAX": "00000000000000000000000011011001",
+ "T_LIMIT_MIN": "00000000000000000000000010101111",
+ "T_LIMIT_TYP": "00000000000000000000000010110111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2277.2-2277.58"
+ },
+ "port_directions": {
+ "DST": "input",
+ "DST_EN": "output",
+ "SRC": "input",
+ "SRC_EN": "output"
+ },
+ "connections": {
+ "DST": [ 5 ],
+ "DST_EN": [ "1" ],
+ "SRC": [ 7 ],
+ "SRC_EN": [ "1" ]
+ }
+ },
+ "$specify$153": {
+ "hide_name": 1,
+ "type": "$specrule",
+ "parameters": {
+ "DST_PEN": "1",
+ "DST_POL": "1",
+ "DST_WIDTH": "00000000000000000000000000000001",
+ "SRC_PEN": "1",
+ "SRC_POL": "0",
+ "SRC_WIDTH": "00000000000000000000000000000001",
+ "TYPE": "$setuphold",
+ "T_LIMIT2_MAX": "00000000000000000000000000000000",
+ "T_LIMIT2_MIN": "00000000000000000000000000000000",
+ "T_LIMIT2_TYP": "00000000000000000000000000000000",
+ "T_LIMIT_MAX": "00000000000000000000000100010010",
+ "T_LIMIT_MIN": "00000000000000000000000011011100",
+ "T_LIMIT_TYP": "00000000000000000000000011110011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2278.2-2278.58"
+ },
+ "port_directions": {
+ "DST": "input",
+ "DST_EN": "output",
+ "SRC": "input",
+ "SRC_EN": "output"
+ },
+ "connections": {
+ "DST": [ 5 ],
+ "DST_EN": [ "1" ],
+ "SRC": [ 7 ],
+ "SRC_EN": [ "1" ]
+ }
+ },
+ "$specify$154": {
+ "hide_name": 1,
+ "type": "$specrule",
+ "parameters": {
+ "DST_PEN": "1",
+ "DST_POL": "0",
+ "DST_WIDTH": "00000000000000000000000000000001",
+ "SRC_PEN": "1",
+ "SRC_POL": "0",
+ "SRC_WIDTH": "00000000000000000000000000000001",
+ "TYPE": "$setuphold",
+ "T_LIMIT2_MAX": "00000000000000000000000000000000",
+ "T_LIMIT2_MIN": "00000000000000000000000000000000",
+ "T_LIMIT2_TYP": "00000000000000000000000000000000",
+ "T_LIMIT_MAX": "00000000000000000000000011011001",
+ "T_LIMIT_MIN": "00000000000000000000000010101111",
+ "T_LIMIT_TYP": "00000000000000000000000010110111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2279.2-2279.58"
+ },
+ "port_directions": {
+ "DST": "input",
+ "DST_EN": "output",
+ "SRC": "input",
+ "SRC_EN": "output"
+ },
+ "connections": {
+ "DST": [ 5 ],
+ "DST_EN": [ "1" ],
+ "SRC": [ 7 ],
+ "SRC_EN": [ "1" ]
+ }
+ },
+ "$specify$155": {
+ "hide_name": 1,
+ "type": "$specrule",
+ "parameters": {
+ "DST_PEN": "1",
+ "DST_POL": "0",
+ "DST_WIDTH": "00000000000000000000000000000001",
+ "SRC_PEN": "1",
+ "SRC_POL": "1",
+ "SRC_WIDTH": "00000000000000000000000000000001",
+ "TYPE": "$setuphold",
+ "T_LIMIT2_MAX": "00000000000000000000000000000000",
+ "T_LIMIT2_MIN": "00000000000000000000000000000000",
+ "T_LIMIT2_TYP": "00000000000000000000000000000000",
+ "T_LIMIT_MAX": "00000000000000000000000000000000",
+ "T_LIMIT_MIN": "00000000000000000000000000000000",
+ "T_LIMIT_TYP": "00000000000000000000000000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2281.2-2281.53"
+ },
+ "port_directions": {
+ "DST": "input",
+ "DST_EN": "output",
+ "SRC": "input",
+ "SRC_EN": "output"
+ },
+ "connections": {
+ "DST": [ 8 ],
+ "DST_EN": [ "1" ],
+ "SRC": [ 7 ],
+ "SRC_EN": [ "1" ]
+ }
+ },
+ "$specify$156": {
+ "hide_name": 1,
+ "type": "$specrule",
+ "parameters": {
+ "DST_PEN": "1",
+ "DST_POL": "1",
+ "DST_WIDTH": "00000000000000000000000000000001",
+ "SRC_PEN": "1",
+ "SRC_POL": "1",
+ "SRC_WIDTH": "00000000000000000000000000000001",
+ "TYPE": "$setuphold",
+ "T_LIMIT2_MAX": "00000000000000000000000000000000",
+ "T_LIMIT2_MIN": "00000000000000000000000000000000",
+ "T_LIMIT2_TYP": "00000000000000000000000000000000",
+ "T_LIMIT_MAX": "00000000000000000000000000000000",
+ "T_LIMIT_MIN": "00000000000000000000000000000000",
+ "T_LIMIT_TYP": "00000000000000000000000000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2283.2-2283.53"
+ },
+ "port_directions": {
+ "DST": "input",
+ "DST_EN": "output",
+ "SRC": "input",
+ "SRC_EN": "output"
+ },
+ "connections": {
+ "DST": [ 8 ],
+ "DST_EN": [ "1" ],
+ "SRC": [ 7 ],
+ "SRC_EN": [ "1" ]
+ }
+ },
+ "$specify$157": {
+ "hide_name": 1,
+ "type": "$specrule",
+ "parameters": {
+ "DST_PEN": "1",
+ "DST_POL": "1",
+ "DST_WIDTH": "00000000000000000000000000000001",
+ "SRC_PEN": "1",
+ "SRC_POL": "1",
+ "SRC_WIDTH": "00000000000000000000000000000001",
+ "TYPE": "$setuphold",
+ "T_LIMIT2_MAX": "00000000000000000000000000000000",
+ "T_LIMIT2_MIN": "00000000000000000000000000000000",
+ "T_LIMIT2_TYP": "00000000000000000000000000000000",
+ "T_LIMIT_MAX": "00000000000000000000000011001011",
+ "T_LIMIT_MIN": "00000000000000000000000010100011",
+ "T_LIMIT_TYP": "00000000000000000000000010110101"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2285.2-2285.58"
+ },
+ "port_directions": {
+ "DST": "input",
+ "DST_EN": "output",
+ "SRC": "input",
+ "SRC_EN": "output"
+ },
+ "connections": {
+ "DST": [ 9 ],
+ "DST_EN": [ "1" ],
+ "SRC": [ 7 ],
+ "SRC_EN": [ "1" ]
+ }
+ },
+ "$specify$158": {
+ "hide_name": 1,
+ "type": "$specrule",
+ "parameters": {
+ "DST_PEN": "1",
+ "DST_POL": "0",
+ "DST_WIDTH": "00000000000000000000000000000001",
+ "SRC_PEN": "1",
+ "SRC_POL": "1",
+ "SRC_WIDTH": "00000000000000000000000000000001",
+ "TYPE": "$setuphold",
+ "T_LIMIT2_MAX": "00000000000000000000000000000000",
+ "T_LIMIT2_MIN": "00000000000000000000000000000000",
+ "T_LIMIT2_TYP": "00000000000000000000000000000000",
+ "T_LIMIT_MAX": "00000000000000000000000010001100",
+ "T_LIMIT_MIN": "00000000000000000000000001110001",
+ "T_LIMIT_TYP": "00000000000000000000000001111101"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2287.2-2287.58"
+ },
+ "port_directions": {
+ "DST": "input",
+ "DST_EN": "output",
+ "SRC": "input",
+ "SRC_EN": "output"
+ },
+ "connections": {
+ "DST": [ 9 ],
+ "DST_EN": [ "1" ],
+ "SRC": [ 7 ],
+ "SRC_EN": [ "1" ]
+ }
+ },
+ "$specify$159": {
+ "hide_name": 1,
+ "type": "$specrule",
+ "parameters": {
+ "DST_PEN": "1",
+ "DST_POL": "1",
+ "DST_WIDTH": "00000000000000000000000000000001",
+ "SRC_PEN": "1",
+ "SRC_POL": "0",
+ "SRC_WIDTH": "00000000000000000000000000000001",
+ "TYPE": "$setuphold",
+ "T_LIMIT2_MAX": "00000000000000000000000000000000",
+ "T_LIMIT2_MIN": "00000000000000000000000000000000",
+ "T_LIMIT2_TYP": "00000000000000000000000000000000",
+ "T_LIMIT_MAX": "00000000000000000000000011001011",
+ "T_LIMIT_MIN": "00000000000000000000000010100011",
+ "T_LIMIT_TYP": "00000000000000000000000010110101"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2288.2-2288.58"
+ },
+ "port_directions": {
+ "DST": "input",
+ "DST_EN": "output",
+ "SRC": "input",
+ "SRC_EN": "output"
+ },
+ "connections": {
+ "DST": [ 9 ],
+ "DST_EN": [ "1" ],
+ "SRC": [ 7 ],
+ "SRC_EN": [ "1" ]
+ }
+ },
+ "$specify$160": {
+ "hide_name": 1,
+ "type": "$specrule",
+ "parameters": {
+ "DST_PEN": "1",
+ "DST_POL": "0",
+ "DST_WIDTH": "00000000000000000000000000000001",
+ "SRC_PEN": "1",
+ "SRC_POL": "0",
+ "SRC_WIDTH": "00000000000000000000000000000001",
+ "TYPE": "$setuphold",
+ "T_LIMIT2_MAX": "00000000000000000000000000000000",
+ "T_LIMIT2_MIN": "00000000000000000000000000000000",
+ "T_LIMIT2_TYP": "00000000000000000000000000000000",
+ "T_LIMIT_MAX": "00000000000000000000000010001100",
+ "T_LIMIT_MIN": "00000000000000000000000001110001",
+ "T_LIMIT_TYP": "00000000000000000000000001111101"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2289.2-2289.58"
+ },
+ "port_directions": {
+ "DST": "input",
+ "DST_EN": "output",
+ "SRC": "input",
+ "SRC_EN": "output"
+ },
+ "connections": {
+ "DST": [ 9 ],
+ "DST_EN": [ "1" ],
+ "SRC": [ 7 ],
+ "SRC_EN": [ "1" ]
+ }
+ }
+ },
+ "netnames": {
+ "CEN": {
+ "hide_name": 0,
+ "bits": [ 8 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2137.34-2137.37"
+ }
+ },
+ "CIN": {
+ "hide_name": 0,
+ "bits": [ 6 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2137.24-2137.27"
+ }
+ },
+ "CLK": {
+ "hide_name": 0,
+ "bits": [ 7 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2137.29-2137.32"
+ }
+ },
+ "COUT": {
+ "hide_name": 0,
+ "bits": [ 12 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2140.9-2140.13"
+ }
+ },
+ "I0": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2137.8-2137.10"
+ }
+ },
+ "I1": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2137.12-2137.14"
+ }
+ },
+ "I2": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2137.16-2137.18"
+ }
+ },
+ "I3": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2137.20-2137.22"
+ }
+ },
+ "LO": {
+ "hide_name": 0,
+ "bits": [ 10 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2138.9-2138.11"
+ }
+ },
+ "O": {
+ "hide_name": 0,
+ "bits": [ 11 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2139.9-2139.10"
+ }
+ },
+ "SR": {
+ "hide_name": 0,
+ "bits": [ 9 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2137.39-2137.41"
+ }
+ }
+ }
+ },
+ "ICESTORM_RAM": {
+ "attributes": {
+ "blackbox": "00000000000000000000000000000001",
+ "cells_not_processed": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3167.1-3502.10"
+ },
+ "parameter_default_values": {
+ "INIT_0": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_1": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_2": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_3": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_4": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_5": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_6": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_7": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_8": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_9": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "NEG_CLK_R": "0",
+ "NEG_CLK_W": "0",
+ "READ_MODE": "00000000000000000000000000000000",
+ "WRITE_MODE": "00000000000000000000000000000000"
+ },
+ "ports": {
+ "RDATA_15": {
+ "direction": "output",
+ "bits": [ 2 ]
+ },
+ "RDATA_14": {
+ "direction": "output",
+ "bits": [ 3 ]
+ },
+ "RDATA_13": {
+ "direction": "output",
+ "bits": [ 4 ]
+ },
+ "RDATA_12": {
+ "direction": "output",
+ "bits": [ 5 ]
+ },
+ "RDATA_11": {
+ "direction": "output",
+ "bits": [ 6 ]
+ },
+ "RDATA_10": {
+ "direction": "output",
+ "bits": [ 7 ]
+ },
+ "RDATA_9": {
+ "direction": "output",
+ "bits": [ 8 ]
+ },
+ "RDATA_8": {
+ "direction": "output",
+ "bits": [ 9 ]
+ },
+ "RDATA_7": {
+ "direction": "output",
+ "bits": [ 10 ]
+ },
+ "RDATA_6": {
+ "direction": "output",
+ "bits": [ 11 ]
+ },
+ "RDATA_5": {
+ "direction": "output",
+ "bits": [ 12 ]
+ },
+ "RDATA_4": {
+ "direction": "output",
+ "bits": [ 13 ]
+ },
+ "RDATA_3": {
+ "direction": "output",
+ "bits": [ 14 ]
+ },
+ "RDATA_2": {
+ "direction": "output",
+ "bits": [ 15 ]
+ },
+ "RDATA_1": {
+ "direction": "output",
+ "bits": [ 16 ]
+ },
+ "RDATA_0": {
+ "direction": "output",
+ "bits": [ 17 ]
+ },
+ "RCLK": {
+ "direction": "input",
+ "bits": [ 18 ]
+ },
+ "RCLKE": {
+ "direction": "input",
+ "bits": [ 19 ]
+ },
+ "RE": {
+ "direction": "input",
+ "bits": [ 20 ]
+ },
+ "RADDR_10": {
+ "direction": "input",
+ "bits": [ 21 ]
+ },
+ "RADDR_9": {
+ "direction": "input",
+ "bits": [ 22 ]
+ },
+ "RADDR_8": {
+ "direction": "input",
+ "bits": [ 23 ]
+ },
+ "RADDR_7": {
+ "direction": "input",
+ "bits": [ 24 ]
+ },
+ "RADDR_6": {
+ "direction": "input",
+ "bits": [ 25 ]
+ },
+ "RADDR_5": {
+ "direction": "input",
+ "bits": [ 26 ]
+ },
+ "RADDR_4": {
+ "direction": "input",
+ "bits": [ 27 ]
+ },
+ "RADDR_3": {
+ "direction": "input",
+ "bits": [ 28 ]
+ },
+ "RADDR_2": {
+ "direction": "input",
+ "bits": [ 29 ]
+ },
+ "RADDR_1": {
+ "direction": "input",
+ "bits": [ 30 ]
+ },
+ "RADDR_0": {
+ "direction": "input",
+ "bits": [ 31 ]
+ },
+ "WCLK": {
+ "direction": "input",
+ "bits": [ 32 ]
+ },
+ "WCLKE": {
+ "direction": "input",
+ "bits": [ 33 ]
+ },
+ "WE": {
+ "direction": "input",
+ "bits": [ 34 ]
+ },
+ "WADDR_10": {
+ "direction": "input",
+ "bits": [ 35 ]
+ },
+ "WADDR_9": {
+ "direction": "input",
+ "bits": [ 36 ]
+ },
+ "WADDR_8": {
+ "direction": "input",
+ "bits": [ 37 ]
+ },
+ "WADDR_7": {
+ "direction": "input",
+ "bits": [ 38 ]
+ },
+ "WADDR_6": {
+ "direction": "input",
+ "bits": [ 39 ]
+ },
+ "WADDR_5": {
+ "direction": "input",
+ "bits": [ 40 ]
+ },
+ "WADDR_4": {
+ "direction": "input",
+ "bits": [ 41 ]
+ },
+ "WADDR_3": {
+ "direction": "input",
+ "bits": [ 42 ]
+ },
+ "WADDR_2": {
+ "direction": "input",
+ "bits": [ 43 ]
+ },
+ "WADDR_1": {
+ "direction": "input",
+ "bits": [ 44 ]
+ },
+ "WADDR_0": {
+ "direction": "input",
+ "bits": [ 45 ]
+ },
+ "MASK_15": {
+ "direction": "input",
+ "bits": [ 46 ]
+ },
+ "MASK_14": {
+ "direction": "input",
+ "bits": [ 47 ]
+ },
+ "MASK_13": {
+ "direction": "input",
+ "bits": [ 48 ]
+ },
+ "MASK_12": {
+ "direction": "input",
+ "bits": [ 49 ]
+ },
+ "MASK_11": {
+ "direction": "input",
+ "bits": [ 50 ]
+ },
+ "MASK_10": {
+ "direction": "input",
+ "bits": [ 51 ]
+ },
+ "MASK_9": {
+ "direction": "input",
+ "bits": [ 52 ]
+ },
+ "MASK_8": {
+ "direction": "input",
+ "bits": [ 53 ]
+ },
+ "MASK_7": {
+ "direction": "input",
+ "bits": [ 54 ]
+ },
+ "MASK_6": {
+ "direction": "input",
+ "bits": [ 55 ]
+ },
+ "MASK_5": {
+ "direction": "input",
+ "bits": [ 56 ]
+ },
+ "MASK_4": {
+ "direction": "input",
+ "bits": [ 57 ]
+ },
+ "MASK_3": {
+ "direction": "input",
+ "bits": [ 58 ]
+ },
+ "MASK_2": {
+ "direction": "input",
+ "bits": [ 59 ]
+ },
+ "MASK_1": {
+ "direction": "input",
+ "bits": [ 60 ]
+ },
+ "MASK_0": {
+ "direction": "input",
+ "bits": [ 61 ]
+ },
+ "WDATA_15": {
+ "direction": "input",
+ "bits": [ 62 ]
+ },
+ "WDATA_14": {
+ "direction": "input",
+ "bits": [ 63 ]
+ },
+ "WDATA_13": {
+ "direction": "input",
+ "bits": [ 64 ]
+ },
+ "WDATA_12": {
+ "direction": "input",
+ "bits": [ 65 ]
+ },
+ "WDATA_11": {
+ "direction": "input",
+ "bits": [ 66 ]
+ },
+ "WDATA_10": {
+ "direction": "input",
+ "bits": [ 67 ]
+ },
+ "WDATA_9": {
+ "direction": "input",
+ "bits": [ 68 ]
+ },
+ "WDATA_8": {
+ "direction": "input",
+ "bits": [ 69 ]
+ },
+ "WDATA_7": {
+ "direction": "input",
+ "bits": [ 70 ]
+ },
+ "WDATA_6": {
+ "direction": "input",
+ "bits": [ 71 ]
+ },
+ "WDATA_5": {
+ "direction": "input",
+ "bits": [ 72 ]
+ },
+ "WDATA_4": {
+ "direction": "input",
+ "bits": [ 73 ]
+ },
+ "WDATA_3": {
+ "direction": "input",
+ "bits": [ 74 ]
+ },
+ "WDATA_2": {
+ "direction": "input",
+ "bits": [ 75 ]
+ },
+ "WDATA_1": {
+ "direction": "input",
+ "bits": [ 76 ]
+ },
+ "WDATA_0": {
+ "direction": "input",
+ "bits": [ 77 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "MASK_0": {
+ "hide_name": 0,
+ "bits": [ 61 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3173.135-3173.141"
+ }
+ },
+ "MASK_1": {
+ "hide_name": 0,
+ "bits": [ 60 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3173.127-3173.133"
+ }
+ },
+ "MASK_10": {
+ "hide_name": 0,
+ "bits": [ 51 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3173.54-3173.61"
+ }
+ },
+ "MASK_11": {
+ "hide_name": 0,
+ "bits": [ 50 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3173.45-3173.52"
+ }
+ },
+ "MASK_12": {
+ "hide_name": 0,
+ "bits": [ 49 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3173.36-3173.43"
+ }
+ },
+ "MASK_13": {
+ "hide_name": 0,
+ "bits": [ 48 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3173.27-3173.34"
+ }
+ },
+ "MASK_14": {
+ "hide_name": 0,
+ "bits": [ 47 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3173.18-3173.25"
+ }
+ },
+ "MASK_15": {
+ "hide_name": 0,
+ "bits": [ 46 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3173.9-3173.16"
+ }
+ },
+ "MASK_2": {
+ "hide_name": 0,
+ "bits": [ 59 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3173.119-3173.125"
+ }
+ },
+ "MASK_3": {
+ "hide_name": 0,
+ "bits": [ 58 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3173.111-3173.117"
+ }
+ },
+ "MASK_4": {
+ "hide_name": 0,
+ "bits": [ 57 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3173.103-3173.109"
+ }
+ },
+ "MASK_5": {
+ "hide_name": 0,
+ "bits": [ 56 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3173.95-3173.101"
+ }
+ },
+ "MASK_6": {
+ "hide_name": 0,
+ "bits": [ 55 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3173.87-3173.93"
+ }
+ },
+ "MASK_7": {
+ "hide_name": 0,
+ "bits": [ 54 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3173.79-3173.85"
+ }
+ },
+ "MASK_8": {
+ "hide_name": 0,
+ "bits": [ 53 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3173.71-3173.77"
+ }
+ },
+ "MASK_9": {
+ "hide_name": 0,
+ "bits": [ 52 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3173.63-3173.69"
+ }
+ },
+ "RADDR_0": {
+ "hide_name": 0,
+ "bits": [ 31 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3170.100-3170.107"
+ }
+ },
+ "RADDR_1": {
+ "hide_name": 0,
+ "bits": [ 30 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3170.91-3170.98"
+ }
+ },
+ "RADDR_10": {
+ "hide_name": 0,
+ "bits": [ 21 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3170.9-3170.17"
+ }
+ },
+ "RADDR_2": {
+ "hide_name": 0,
+ "bits": [ 29 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3170.82-3170.89"
+ }
+ },
+ "RADDR_3": {
+ "hide_name": 0,
+ "bits": [ 28 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3170.73-3170.80"
+ }
+ },
+ "RADDR_4": {
+ "hide_name": 0,
+ "bits": [ 27 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3170.64-3170.71"
+ }
+ },
+ "RADDR_5": {
+ "hide_name": 0,
+ "bits": [ 26 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3170.55-3170.62"
+ }
+ },
+ "RADDR_6": {
+ "hide_name": 0,
+ "bits": [ 25 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3170.46-3170.53"
+ }
+ },
+ "RADDR_7": {
+ "hide_name": 0,
+ "bits": [ 24 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3170.37-3170.44"
+ }
+ },
+ "RADDR_8": {
+ "hide_name": 0,
+ "bits": [ 23 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3170.28-3170.35"
+ }
+ },
+ "RADDR_9": {
+ "hide_name": 0,
+ "bits": [ 22 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3170.19-3170.26"
+ }
+ },
+ "RCLK": {
+ "hide_name": 0,
+ "bits": [ 18 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3169.9-3169.13"
+ }
+ },
+ "RCLKE": {
+ "hide_name": 0,
+ "bits": [ 19 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3169.15-3169.20"
+ }
+ },
+ "RDATA_0": {
+ "hide_name": 0,
+ "bits": [ 17 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3168.150-3168.157"
+ }
+ },
+ "RDATA_1": {
+ "hide_name": 0,
+ "bits": [ 16 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3168.141-3168.148"
+ }
+ },
+ "RDATA_10": {
+ "hide_name": 0,
+ "bits": [ 7 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3168.59-3168.67"
+ }
+ },
+ "RDATA_11": {
+ "hide_name": 0,
+ "bits": [ 6 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3168.49-3168.57"
+ }
+ },
+ "RDATA_12": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3168.39-3168.47"
+ }
+ },
+ "RDATA_13": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3168.29-3168.37"
+ }
+ },
+ "RDATA_14": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3168.19-3168.27"
+ }
+ },
+ "RDATA_15": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3168.9-3168.17"
+ }
+ },
+ "RDATA_2": {
+ "hide_name": 0,
+ "bits": [ 15 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3168.132-3168.139"
+ }
+ },
+ "RDATA_3": {
+ "hide_name": 0,
+ "bits": [ 14 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3168.123-3168.130"
+ }
+ },
+ "RDATA_4": {
+ "hide_name": 0,
+ "bits": [ 13 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3168.114-3168.121"
+ }
+ },
+ "RDATA_5": {
+ "hide_name": 0,
+ "bits": [ 12 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3168.105-3168.112"
+ }
+ },
+ "RDATA_6": {
+ "hide_name": 0,
+ "bits": [ 11 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3168.96-3168.103"
+ }
+ },
+ "RDATA_7": {
+ "hide_name": 0,
+ "bits": [ 10 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3168.87-3168.94"
+ }
+ },
+ "RDATA_8": {
+ "hide_name": 0,
+ "bits": [ 9 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3168.78-3168.85"
+ }
+ },
+ "RDATA_9": {
+ "hide_name": 0,
+ "bits": [ 8 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3168.69-3168.76"
+ }
+ },
+ "RE": {
+ "hide_name": 0,
+ "bits": [ 20 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3169.22-3169.24"
+ }
+ },
+ "WADDR_0": {
+ "hide_name": 0,
+ "bits": [ 45 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3172.100-3172.107"
+ }
+ },
+ "WADDR_1": {
+ "hide_name": 0,
+ "bits": [ 44 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3172.91-3172.98"
+ }
+ },
+ "WADDR_10": {
+ "hide_name": 0,
+ "bits": [ 35 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3172.9-3172.17"
+ }
+ },
+ "WADDR_2": {
+ "hide_name": 0,
+ "bits": [ 43 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3172.82-3172.89"
+ }
+ },
+ "WADDR_3": {
+ "hide_name": 0,
+ "bits": [ 42 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3172.73-3172.80"
+ }
+ },
+ "WADDR_4": {
+ "hide_name": 0,
+ "bits": [ 41 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3172.64-3172.71"
+ }
+ },
+ "WADDR_5": {
+ "hide_name": 0,
+ "bits": [ 40 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3172.55-3172.62"
+ }
+ },
+ "WADDR_6": {
+ "hide_name": 0,
+ "bits": [ 39 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3172.46-3172.53"
+ }
+ },
+ "WADDR_7": {
+ "hide_name": 0,
+ "bits": [ 38 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3172.37-3172.44"
+ }
+ },
+ "WADDR_8": {
+ "hide_name": 0,
+ "bits": [ 37 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3172.28-3172.35"
+ }
+ },
+ "WADDR_9": {
+ "hide_name": 0,
+ "bits": [ 36 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3172.19-3172.26"
+ }
+ },
+ "WCLK": {
+ "hide_name": 0,
+ "bits": [ 32 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3171.9-3171.13"
+ }
+ },
+ "WCLKE": {
+ "hide_name": 0,
+ "bits": [ 33 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3171.15-3171.20"
+ }
+ },
+ "WDATA_0": {
+ "hide_name": 0,
+ "bits": [ 77 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3174.150-3174.157"
+ }
+ },
+ "WDATA_1": {
+ "hide_name": 0,
+ "bits": [ 76 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3174.141-3174.148"
+ }
+ },
+ "WDATA_10": {
+ "hide_name": 0,
+ "bits": [ 67 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3174.59-3174.67"
+ }
+ },
+ "WDATA_11": {
+ "hide_name": 0,
+ "bits": [ 66 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3174.49-3174.57"
+ }
+ },
+ "WDATA_12": {
+ "hide_name": 0,
+ "bits": [ 65 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3174.39-3174.47"
+ }
+ },
+ "WDATA_13": {
+ "hide_name": 0,
+ "bits": [ 64 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3174.29-3174.37"
+ }
+ },
+ "WDATA_14": {
+ "hide_name": 0,
+ "bits": [ 63 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3174.19-3174.27"
+ }
+ },
+ "WDATA_15": {
+ "hide_name": 0,
+ "bits": [ 62 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3174.9-3174.17"
+ }
+ },
+ "WDATA_2": {
+ "hide_name": 0,
+ "bits": [ 75 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3174.132-3174.139"
+ }
+ },
+ "WDATA_3": {
+ "hide_name": 0,
+ "bits": [ 74 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3174.123-3174.130"
+ }
+ },
+ "WDATA_4": {
+ "hide_name": 0,
+ "bits": [ 73 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3174.114-3174.121"
+ }
+ },
+ "WDATA_5": {
+ "hide_name": 0,
+ "bits": [ 72 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3174.105-3174.112"
+ }
+ },
+ "WDATA_6": {
+ "hide_name": 0,
+ "bits": [ 71 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3174.96-3174.103"
+ }
+ },
+ "WDATA_7": {
+ "hide_name": 0,
+ "bits": [ 70 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3174.87-3174.94"
+ }
+ },
+ "WDATA_8": {
+ "hide_name": 0,
+ "bits": [ 69 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3174.78-3174.85"
+ }
+ },
+ "WDATA_9": {
+ "hide_name": 0,
+ "bits": [ 68 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3174.69-3174.76"
+ }
+ },
+ "WE": {
+ "hide_name": 0,
+ "bits": [ 34 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3171.22-3171.24"
+ }
+ }
+ }
+ },
+ "SB_CARRY": {
+ "attributes": {
+ "blackbox": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:228.1-260.10"
+ },
+ "ports": {
+ "CO": {
+ "direction": "output",
+ "bits": [ 2 ]
+ },
+ "I0": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "I1": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "CI": {
+ "direction": "input",
+ "bits": [ 5 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "CI": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:228.43-228.45"
+ }
+ },
+ "CO": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:228.25-228.27"
+ }
+ },
+ "I0": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:228.35-228.37"
+ }
+ },
+ "I1": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:228.39-228.41"
+ }
+ }
+ }
+ },
+ "SB_DFF": {
+ "attributes": {
+ "abc9_flop": "00000000000000000000000000000001",
+ "blackbox": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:265.1-300.10"
+ },
+ "ports": {
+ "Q": {
+ "direction": "output",
+ "bits": [ 2 ]
+ },
+ "C": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "D": {
+ "direction": "input",
+ "bits": [ 4 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "C": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:267.8-267.9"
+ }
+ },
+ "D": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:267.11-267.12"
+ }
+ },
+ "Q": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "init": "0",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:266.13-266.14"
+ }
+ }
+ }
+ },
+ "SB_DFFE": {
+ "attributes": {
+ "abc9_flop": "00000000000000000000000000000001",
+ "blackbox": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:303.1-347.10"
+ },
+ "ports": {
+ "Q": {
+ "direction": "output",
+ "bits": [ 2 ]
+ },
+ "C": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "E": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "D": {
+ "direction": "input",
+ "bits": [ 5 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "C": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:305.8-305.9"
+ }
+ },
+ "D": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:307.8-307.9"
+ }
+ },
+ "E": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "defaultvalue": "1",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:306.8-306.9"
+ }
+ },
+ "Q": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "init": "0",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:304.13-304.14"
+ }
+ }
+ }
+ },
+ "SB_DFFER": {
+ "attributes": {
+ "blackbox": "00000000000000000000000000000001",
+ "cells_not_processed": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:653.1-730.10"
+ },
+ "ports": {
+ "Q": {
+ "direction": "output",
+ "bits": [ 2 ]
+ },
+ "C": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "E": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "R": {
+ "direction": "input",
+ "bits": [ 5 ]
+ },
+ "D": {
+ "direction": "input",
+ "bits": [ 6 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "C": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:655.8-655.9"
+ }
+ },
+ "D": {
+ "hide_name": 0,
+ "bits": [ 6 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:658.8-658.9"
+ }
+ },
+ "E": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "defaultvalue": "1",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:656.8-656.9"
+ }
+ },
+ "Q": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "init": "0",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:654.13-654.14"
+ }
+ },
+ "R": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:657.8-657.9"
+ }
+ }
+ }
+ },
+ "SB_DFFES": {
+ "attributes": {
+ "blackbox": "00000000000000000000000000000001",
+ "cells_not_processed": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:794.1-871.10"
+ },
+ "ports": {
+ "Q": {
+ "direction": "output",
+ "bits": [ 2 ]
+ },
+ "C": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "E": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "S": {
+ "direction": "input",
+ "bits": [ 5 ]
+ },
+ "D": {
+ "direction": "input",
+ "bits": [ 6 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "C": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:796.8-796.9"
+ }
+ },
+ "D": {
+ "hide_name": 0,
+ "bits": [ 6 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:799.8-799.9"
+ }
+ },
+ "E": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "defaultvalue": "1",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:797.8-797.9"
+ }
+ },
+ "Q": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "init": "0",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:795.13-795.14"
+ }
+ },
+ "S": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:798.8-798.9"
+ }
+ }
+ }
+ },
+ "SB_DFFESR": {
+ "attributes": {
+ "abc9_flop": "00000000000000000000000000000001",
+ "blackbox": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:592.1-650.10"
+ },
+ "ports": {
+ "Q": {
+ "direction": "output",
+ "bits": [ 2 ]
+ },
+ "C": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "E": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "R": {
+ "direction": "input",
+ "bits": [ 5 ]
+ },
+ "D": {
+ "direction": "input",
+ "bits": [ 6 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "C": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:594.8-594.9"
+ }
+ },
+ "D": {
+ "hide_name": 0,
+ "bits": [ 6 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:597.8-597.9"
+ }
+ },
+ "E": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "defaultvalue": "1",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:595.8-595.9"
+ }
+ },
+ "Q": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "init": "0",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:593.13-593.14"
+ }
+ },
+ "R": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:596.8-596.9"
+ }
+ }
+ }
+ },
+ "SB_DFFESS": {
+ "attributes": {
+ "blackbox": "00000000000000000000000000000001",
+ "abc9_flop": "00000000000000000000000000000001",
+ "cells_not_processed": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:733.1-791.10"
+ },
+ "ports": {
+ "Q": {
+ "direction": "output",
+ "bits": [ 2 ]
+ },
+ "C": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "E": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "S": {
+ "direction": "input",
+ "bits": [ 5 ]
+ },
+ "D": {
+ "direction": "input",
+ "bits": [ 6 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "C": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:735.8-735.9"
+ }
+ },
+ "D": {
+ "hide_name": 0,
+ "bits": [ 6 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:738.8-738.9"
+ }
+ },
+ "E": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "defaultvalue": "1",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:736.8-736.9"
+ }
+ },
+ "Q": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "init": "0",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:734.13-734.14"
+ }
+ },
+ "S": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:737.8-737.9"
+ }
+ }
+ }
+ },
+ "SB_DFFN": {
+ "attributes": {
+ "blackbox": "00000000000000000000000000000001",
+ "abc9_flop": "00000000000000000000000000000001",
+ "cells_not_processed": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:876.1-911.10"
+ },
+ "ports": {
+ "Q": {
+ "direction": "output",
+ "bits": [ 2 ]
+ },
+ "C": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "D": {
+ "direction": "input",
+ "bits": [ 4 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "C": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:878.8-878.9"
+ }
+ },
+ "D": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:878.11-878.12"
+ }
+ },
+ "Q": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "init": "0",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:877.13-877.14"
+ }
+ }
+ }
+ },
+ "SB_DFFNE": {
+ "attributes": {
+ "blackbox": "00000000000000000000000000000001",
+ "abc9_flop": "00000000000000000000000000000001",
+ "cells_not_processed": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:914.1-958.10"
+ },
+ "ports": {
+ "Q": {
+ "direction": "output",
+ "bits": [ 2 ]
+ },
+ "C": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "E": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "D": {
+ "direction": "input",
+ "bits": [ 5 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "C": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:916.8-916.9"
+ }
+ },
+ "D": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:918.8-918.9"
+ }
+ },
+ "E": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "defaultvalue": "1",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:917.8-917.9"
+ }
+ },
+ "Q": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "init": "0",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:915.13-915.14"
+ }
+ }
+ }
+ },
+ "SB_DFFNER": {
+ "attributes": {
+ "blackbox": "00000000000000000000000000000001",
+ "cells_not_processed": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1264.1-1341.10"
+ },
+ "ports": {
+ "Q": {
+ "direction": "output",
+ "bits": [ 2 ]
+ },
+ "C": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "E": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "R": {
+ "direction": "input",
+ "bits": [ 5 ]
+ },
+ "D": {
+ "direction": "input",
+ "bits": [ 6 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "C": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1266.8-1266.9"
+ }
+ },
+ "D": {
+ "hide_name": 0,
+ "bits": [ 6 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1269.8-1269.9"
+ }
+ },
+ "E": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "defaultvalue": "1",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1267.8-1267.9"
+ }
+ },
+ "Q": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "init": "0",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1265.13-1265.14"
+ }
+ },
+ "R": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1268.8-1268.9"
+ }
+ }
+ }
+ },
+ "SB_DFFNES": {
+ "attributes": {
+ "blackbox": "00000000000000000000000000000001",
+ "cells_not_processed": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1405.1-1483.10"
+ },
+ "ports": {
+ "Q": {
+ "direction": "output",
+ "bits": [ 2 ]
+ },
+ "C": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "E": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "S": {
+ "direction": "input",
+ "bits": [ 5 ]
+ },
+ "D": {
+ "direction": "input",
+ "bits": [ 6 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "C": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1407.8-1407.9"
+ }
+ },
+ "D": {
+ "hide_name": 0,
+ "bits": [ 6 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1410.8-1410.9"
+ }
+ },
+ "E": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "defaultvalue": "1",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1408.8-1408.9"
+ }
+ },
+ "Q": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "init": "0",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1406.13-1406.14"
+ }
+ },
+ "S": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1409.8-1409.9"
+ }
+ }
+ }
+ },
+ "SB_DFFNESR": {
+ "attributes": {
+ "blackbox": "00000000000000000000000000000001",
+ "abc9_flop": "00000000000000000000000000000001",
+ "cells_not_processed": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1203.1-1261.10"
+ },
+ "ports": {
+ "Q": {
+ "direction": "output",
+ "bits": [ 2 ]
+ },
+ "C": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "E": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "R": {
+ "direction": "input",
+ "bits": [ 5 ]
+ },
+ "D": {
+ "direction": "input",
+ "bits": [ 6 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "C": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1205.8-1205.9"
+ }
+ },
+ "D": {
+ "hide_name": 0,
+ "bits": [ 6 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1208.8-1208.9"
+ }
+ },
+ "E": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "defaultvalue": "1",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1206.8-1206.9"
+ }
+ },
+ "Q": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "init": "0",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1204.13-1204.14"
+ }
+ },
+ "R": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1207.8-1207.9"
+ }
+ }
+ }
+ },
+ "SB_DFFNESS": {
+ "attributes": {
+ "blackbox": "00000000000000000000000000000001",
+ "abc9_flop": "00000000000000000000000000000001",
+ "cells_not_processed": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1344.1-1402.10"
+ },
+ "ports": {
+ "Q": {
+ "direction": "output",
+ "bits": [ 2 ]
+ },
+ "C": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "E": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "S": {
+ "direction": "input",
+ "bits": [ 5 ]
+ },
+ "D": {
+ "direction": "input",
+ "bits": [ 6 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "C": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1346.8-1346.9"
+ }
+ },
+ "D": {
+ "hide_name": 0,
+ "bits": [ 6 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1349.8-1349.9"
+ }
+ },
+ "E": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "defaultvalue": "1",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1347.8-1347.9"
+ }
+ },
+ "Q": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "init": "0",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1345.13-1345.14"
+ }
+ },
+ "S": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1348.8-1348.9"
+ }
+ }
+ }
+ },
+ "SB_DFFNR": {
+ "attributes": {
+ "blackbox": "00000000000000000000000000000001",
+ "abc9_flop": "00000000000000000000000000000001",
+ "cells_not_processed": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1011.1-1079.10"
+ },
+ "ports": {
+ "Q": {
+ "direction": "output",
+ "bits": [ 2 ]
+ },
+ "C": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "R": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "D": {
+ "direction": "input",
+ "bits": [ 5 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "C": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1013.8-1013.9"
+ }
+ },
+ "D": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1013.14-1013.15"
+ }
+ },
+ "Q": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "init": "0",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1012.13-1012.14"
+ }
+ },
+ "R": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1013.11-1013.12"
+ }
+ }
+ }
+ },
+ "SB_DFFNS": {
+ "attributes": {
+ "blackbox": "00000000000000000000000000000001",
+ "cells_not_processed": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1132.1-1200.10"
+ },
+ "ports": {
+ "Q": {
+ "direction": "output",
+ "bits": [ 2 ]
+ },
+ "C": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "S": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "D": {
+ "direction": "input",
+ "bits": [ 5 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "C": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1134.8-1134.9"
+ }
+ },
+ "D": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1134.14-1134.15"
+ }
+ },
+ "Q": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "init": "0",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1133.13-1133.14"
+ }
+ },
+ "S": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1134.11-1134.12"
+ }
+ }
+ }
+ },
+ "SB_DFFNSR": {
+ "attributes": {
+ "blackbox": "00000000000000000000000000000001",
+ "abc9_flop": "00000000000000000000000000000001",
+ "cells_not_processed": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:961.1-1008.10"
+ },
+ "ports": {
+ "Q": {
+ "direction": "output",
+ "bits": [ 2 ]
+ },
+ "C": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "R": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "D": {
+ "direction": "input",
+ "bits": [ 5 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "C": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:963.8-963.9"
+ }
+ },
+ "D": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:963.14-963.15"
+ }
+ },
+ "Q": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "init": "0",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:962.13-962.14"
+ }
+ },
+ "R": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:963.11-963.12"
+ }
+ }
+ }
+ },
+ "SB_DFFNSS": {
+ "attributes": {
+ "blackbox": "00000000000000000000000000000001",
+ "abc9_flop": "00000000000000000000000000000001",
+ "cells_not_processed": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1082.1-1129.10"
+ },
+ "ports": {
+ "Q": {
+ "direction": "output",
+ "bits": [ 2 ]
+ },
+ "C": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "S": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "D": {
+ "direction": "input",
+ "bits": [ 5 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "C": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1084.8-1084.9"
+ }
+ },
+ "D": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1084.14-1084.15"
+ }
+ },
+ "Q": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "init": "0",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1083.13-1083.14"
+ }
+ },
+ "S": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1084.11-1084.12"
+ }
+ }
+ }
+ },
+ "SB_DFFR": {
+ "attributes": {
+ "blackbox": "00000000000000000000000000000001",
+ "cells_not_processed": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:400.1-468.10"
+ },
+ "ports": {
+ "Q": {
+ "direction": "output",
+ "bits": [ 2 ]
+ },
+ "C": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "R": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "D": {
+ "direction": "input",
+ "bits": [ 5 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "C": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:402.8-402.9"
+ }
+ },
+ "D": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:402.14-402.15"
+ }
+ },
+ "Q": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "init": "0",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:401.13-401.14"
+ }
+ },
+ "R": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:402.11-402.12"
+ }
+ }
+ }
+ },
+ "SB_DFFS": {
+ "attributes": {
+ "blackbox": "00000000000000000000000000000001",
+ "cells_not_processed": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:521.1-589.10"
+ },
+ "ports": {
+ "Q": {
+ "direction": "output",
+ "bits": [ 2 ]
+ },
+ "C": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "S": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "D": {
+ "direction": "input",
+ "bits": [ 5 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "C": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:523.8-523.9"
+ }
+ },
+ "D": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:523.14-523.15"
+ }
+ },
+ "Q": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "init": "0",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:522.13-522.14"
+ }
+ },
+ "S": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:523.11-523.12"
+ }
+ }
+ }
+ },
+ "SB_DFFSR": {
+ "attributes": {
+ "blackbox": "00000000000000000000000000000001",
+ "abc9_flop": "00000000000000000000000000000001",
+ "cells_not_processed": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:350.1-397.10"
+ },
+ "ports": {
+ "Q": {
+ "direction": "output",
+ "bits": [ 2 ]
+ },
+ "C": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "R": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "D": {
+ "direction": "input",
+ "bits": [ 5 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "C": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:352.8-352.9"
+ }
+ },
+ "D": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:352.14-352.15"
+ }
+ },
+ "Q": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "init": "0",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:351.13-351.14"
+ }
+ },
+ "R": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:352.11-352.12"
+ }
+ }
+ }
+ },
+ "SB_DFFSS": {
+ "attributes": {
+ "blackbox": "00000000000000000000000000000001",
+ "abc9_flop": "00000000000000000000000000000001",
+ "cells_not_processed": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:471.1-518.10"
+ },
+ "ports": {
+ "Q": {
+ "direction": "output",
+ "bits": [ 2 ]
+ },
+ "C": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "S": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "D": {
+ "direction": "input",
+ "bits": [ 5 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "C": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:473.8-473.9"
+ }
+ },
+ "D": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:473.14-473.15"
+ }
+ },
+ "Q": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "init": "0",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:472.13-472.14"
+ }
+ },
+ "S": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:473.11-473.12"
+ }
+ }
+ }
+ },
+ "SB_FILTER_50NS": {
+ "attributes": {
+ "blackbox": "00000000000000000000000000000001",
+ "cells_not_processed": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2856.1-2860.10"
+ },
+ "ports": {
+ "FILTERIN": {
+ "direction": "input",
+ "bits": [ 2 ]
+ },
+ "FILTEROUT": {
+ "direction": "output",
+ "bits": [ 3 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "FILTERIN": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2857.8-2857.16"
+ }
+ },
+ "FILTEROUT": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2858.9-2858.18"
+ }
+ }
+ }
+ },
+ "SB_GB": {
+ "attributes": {
+ "blackbox": "00000000000000000000000000000001",
+ "cells_not_processed": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:162.1-172.10"
+ },
+ "ports": {
+ "USER_SIGNAL_TO_GLOBAL_BUFFER": {
+ "direction": "input",
+ "bits": [ 2 ]
+ },
+ "GLOBAL_BUFFER_OUTPUT": {
+ "direction": "output",
+ "bits": [ 3 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "GLOBAL_BUFFER_OUTPUT": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:164.9-164.29"
+ }
+ },
+ "USER_SIGNAL_TO_GLOBAL_BUFFER": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:163.9-163.37"
+ }
+ }
+ }
+ },
+ "SB_GB_IO": {
+ "attributes": {
+ "blackbox": "00000000000000000000000000000001",
+ "cells_not_processed": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:123.1-160.10"
+ },
+ "parameter_default_values": {
+ "IO_STANDARD": "SB_LVCMOS",
+ "NEG_TRIGGER": "0",
+ "PIN_TYPE": "000000",
+ "PULLUP": "0"
+ },
+ "ports": {
+ "PACKAGE_PIN": {
+ "direction": "inout",
+ "bits": [ 2 ]
+ },
+ "GLOBAL_BUFFER_OUTPUT": {
+ "direction": "output",
+ "bits": [ 3 ]
+ },
+ "LATCH_INPUT_VALUE": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "CLOCK_ENABLE": {
+ "direction": "input",
+ "bits": [ 5 ]
+ },
+ "INPUT_CLK": {
+ "direction": "input",
+ "bits": [ 6 ]
+ },
+ "OUTPUT_CLK": {
+ "direction": "input",
+ "bits": [ 7 ]
+ },
+ "OUTPUT_ENABLE": {
+ "direction": "input",
+ "bits": [ 8 ]
+ },
+ "D_OUT_0": {
+ "direction": "input",
+ "bits": [ 9 ]
+ },
+ "D_OUT_1": {
+ "direction": "input",
+ "bits": [ 10 ]
+ },
+ "D_IN_0": {
+ "direction": "output",
+ "bits": [ 11 ]
+ },
+ "D_IN_1": {
+ "direction": "output",
+ "bits": [ 12 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "CLOCK_ENABLE": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "defaultvalue": "1",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:127.9-127.21"
+ }
+ },
+ "D_IN_0": {
+ "hide_name": 0,
+ "bits": [ 11 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:133.9-133.15"
+ }
+ },
+ "D_IN_1": {
+ "hide_name": 0,
+ "bits": [ 12 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:134.9-134.15"
+ }
+ },
+ "D_OUT_0": {
+ "hide_name": 0,
+ "bits": [ 9 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:131.9-131.16"
+ }
+ },
+ "D_OUT_1": {
+ "hide_name": 0,
+ "bits": [ 10 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:132.9-132.16"
+ }
+ },
+ "GLOBAL_BUFFER_OUTPUT": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:125.9-125.29"
+ }
+ },
+ "INPUT_CLK": {
+ "hide_name": 0,
+ "bits": [ 6 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:128.9-128.18"
+ }
+ },
+ "LATCH_INPUT_VALUE": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:126.9-126.26"
+ }
+ },
+ "OUTPUT_CLK": {
+ "hide_name": 0,
+ "bits": [ 7 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:129.9-129.19"
+ }
+ },
+ "OUTPUT_ENABLE": {
+ "hide_name": 0,
+ "bits": [ 8 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:130.9-130.22"
+ }
+ },
+ "PACKAGE_PIN": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:124.9-124.20"
+ }
+ }
+ }
+ },
+ "SB_HFOSC": {
+ "attributes": {
+ "blackbox": "00000000000000000000000000000001",
+ "cells_not_processed": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2664.1-2681.10"
+ },
+ "parameter_default_values": {
+ "CLKHF_DIV": "0b00",
+ "TRIM_EN": "0b0"
+ },
+ "ports": {
+ "TRIM0": {
+ "direction": "input",
+ "bits": [ 2 ]
+ },
+ "TRIM1": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "TRIM2": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "TRIM3": {
+ "direction": "input",
+ "bits": [ 5 ]
+ },
+ "TRIM4": {
+ "direction": "input",
+ "bits": [ 6 ]
+ },
+ "TRIM5": {
+ "direction": "input",
+ "bits": [ 7 ]
+ },
+ "TRIM6": {
+ "direction": "input",
+ "bits": [ 8 ]
+ },
+ "TRIM7": {
+ "direction": "input",
+ "bits": [ 9 ]
+ },
+ "TRIM8": {
+ "direction": "input",
+ "bits": [ 10 ]
+ },
+ "TRIM9": {
+ "direction": "input",
+ "bits": [ 11 ]
+ },
+ "CLKHFPU": {
+ "direction": "input",
+ "bits": [ 12 ]
+ },
+ "CLKHFEN": {
+ "direction": "input",
+ "bits": [ 13 ]
+ },
+ "CLKHF": {
+ "direction": "output",
+ "bits": [ 14 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "CLKHF": {
+ "hide_name": 0,
+ "bits": [ 14 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2677.9-2677.14"
+ }
+ },
+ "CLKHFEN": {
+ "hide_name": 0,
+ "bits": [ 13 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2676.8-2676.15"
+ }
+ },
+ "CLKHFPU": {
+ "hide_name": 0,
+ "bits": [ 12 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2675.8-2675.15"
+ }
+ },
+ "TRIM0": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2665.8-2665.13"
+ }
+ },
+ "TRIM1": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2666.8-2666.13"
+ }
+ },
+ "TRIM2": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2667.8-2667.13"
+ }
+ },
+ "TRIM3": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2668.8-2668.13"
+ }
+ },
+ "TRIM4": {
+ "hide_name": 0,
+ "bits": [ 6 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2669.8-2669.13"
+ }
+ },
+ "TRIM5": {
+ "hide_name": 0,
+ "bits": [ 7 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2670.8-2670.13"
+ }
+ },
+ "TRIM6": {
+ "hide_name": 0,
+ "bits": [ 8 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2671.8-2671.13"
+ }
+ },
+ "TRIM7": {
+ "hide_name": 0,
+ "bits": [ 9 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2672.8-2672.13"
+ }
+ },
+ "TRIM8": {
+ "hide_name": 0,
+ "bits": [ 10 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2673.8-2673.13"
+ }
+ },
+ "TRIM9": {
+ "hide_name": 0,
+ "bits": [ 11 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2674.8-2674.13"
+ }
+ }
+ }
+ },
+ "SB_I2C": {
+ "attributes": {
+ "blackbox": "00000000000000000000000000000001",
+ "cells_not_processed": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2733.1-2773.10"
+ },
+ "parameter_default_values": {
+ "BUS_ADDR74": "0b0001",
+ "I2C_SLAVE_INIT_ADDR": "0b1111100001"
+ },
+ "ports": {
+ "SBCLKI": {
+ "direction": "input",
+ "bits": [ 2 ]
+ },
+ "SBRWI": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "SBSTBI": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "SBADRI7": {
+ "direction": "input",
+ "bits": [ 5 ]
+ },
+ "SBADRI6": {
+ "direction": "input",
+ "bits": [ 6 ]
+ },
+ "SBADRI5": {
+ "direction": "input",
+ "bits": [ 7 ]
+ },
+ "SBADRI4": {
+ "direction": "input",
+ "bits": [ 8 ]
+ },
+ "SBADRI3": {
+ "direction": "input",
+ "bits": [ 9 ]
+ },
+ "SBADRI2": {
+ "direction": "input",
+ "bits": [ 10 ]
+ },
+ "SBADRI1": {
+ "direction": "input",
+ "bits": [ 11 ]
+ },
+ "SBADRI0": {
+ "direction": "input",
+ "bits": [ 12 ]
+ },
+ "SBDATI7": {
+ "direction": "input",
+ "bits": [ 13 ]
+ },
+ "SBDATI6": {
+ "direction": "input",
+ "bits": [ 14 ]
+ },
+ "SBDATI5": {
+ "direction": "input",
+ "bits": [ 15 ]
+ },
+ "SBDATI4": {
+ "direction": "input",
+ "bits": [ 16 ]
+ },
+ "SBDATI3": {
+ "direction": "input",
+ "bits": [ 17 ]
+ },
+ "SBDATI2": {
+ "direction": "input",
+ "bits": [ 18 ]
+ },
+ "SBDATI1": {
+ "direction": "input",
+ "bits": [ 19 ]
+ },
+ "SBDATI0": {
+ "direction": "input",
+ "bits": [ 20 ]
+ },
+ "SCLI": {
+ "direction": "input",
+ "bits": [ 21 ]
+ },
+ "SDAI": {
+ "direction": "input",
+ "bits": [ 22 ]
+ },
+ "SBDATO7": {
+ "direction": "output",
+ "bits": [ 23 ]
+ },
+ "SBDATO6": {
+ "direction": "output",
+ "bits": [ 24 ]
+ },
+ "SBDATO5": {
+ "direction": "output",
+ "bits": [ 25 ]
+ },
+ "SBDATO4": {
+ "direction": "output",
+ "bits": [ 26 ]
+ },
+ "SBDATO3": {
+ "direction": "output",
+ "bits": [ 27 ]
+ },
+ "SBDATO2": {
+ "direction": "output",
+ "bits": [ 28 ]
+ },
+ "SBDATO1": {
+ "direction": "output",
+ "bits": [ 29 ]
+ },
+ "SBDATO0": {
+ "direction": "output",
+ "bits": [ 30 ]
+ },
+ "SBACKO": {
+ "direction": "output",
+ "bits": [ 31 ]
+ },
+ "I2CIRQ": {
+ "direction": "output",
+ "bits": [ 32 ]
+ },
+ "I2CWKUP": {
+ "direction": "output",
+ "bits": [ 33 ]
+ },
+ "SCLO": {
+ "direction": "output",
+ "bits": [ 34 ]
+ },
+ "SCLOE": {
+ "direction": "output",
+ "bits": [ 35 ]
+ },
+ "SDAO": {
+ "direction": "output",
+ "bits": [ 36 ]
+ },
+ "SDAOE": {
+ "direction": "output",
+ "bits": [ 37 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "I2CIRQ": {
+ "hide_name": 0,
+ "bits": [ 32 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2764.9-2764.15"
+ }
+ },
+ "I2CWKUP": {
+ "hide_name": 0,
+ "bits": [ 33 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2765.9-2765.16"
+ }
+ },
+ "SBACKO": {
+ "hide_name": 0,
+ "bits": [ 31 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2763.9-2763.15"
+ }
+ },
+ "SBADRI0": {
+ "hide_name": 0,
+ "bits": [ 12 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2744.9-2744.16"
+ }
+ },
+ "SBADRI1": {
+ "hide_name": 0,
+ "bits": [ 11 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2743.9-2743.16"
+ }
+ },
+ "SBADRI2": {
+ "hide_name": 0,
+ "bits": [ 10 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2742.9-2742.16"
+ }
+ },
+ "SBADRI3": {
+ "hide_name": 0,
+ "bits": [ 9 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2741.9-2741.16"
+ }
+ },
+ "SBADRI4": {
+ "hide_name": 0,
+ "bits": [ 8 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2740.9-2740.16"
+ }
+ },
+ "SBADRI5": {
+ "hide_name": 0,
+ "bits": [ 7 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2739.9-2739.16"
+ }
+ },
+ "SBADRI6": {
+ "hide_name": 0,
+ "bits": [ 6 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2738.9-2738.16"
+ }
+ },
+ "SBADRI7": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2737.9-2737.16"
+ }
+ },
+ "SBCLKI": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2734.9-2734.15"
+ }
+ },
+ "SBDATI0": {
+ "hide_name": 0,
+ "bits": [ 20 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2752.9-2752.16"
+ }
+ },
+ "SBDATI1": {
+ "hide_name": 0,
+ "bits": [ 19 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2751.9-2751.16"
+ }
+ },
+ "SBDATI2": {
+ "hide_name": 0,
+ "bits": [ 18 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2750.9-2750.16"
+ }
+ },
+ "SBDATI3": {
+ "hide_name": 0,
+ "bits": [ 17 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2749.9-2749.16"
+ }
+ },
+ "SBDATI4": {
+ "hide_name": 0,
+ "bits": [ 16 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2748.9-2748.16"
+ }
+ },
+ "SBDATI5": {
+ "hide_name": 0,
+ "bits": [ 15 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2747.9-2747.16"
+ }
+ },
+ "SBDATI6": {
+ "hide_name": 0,
+ "bits": [ 14 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2746.9-2746.16"
+ }
+ },
+ "SBDATI7": {
+ "hide_name": 0,
+ "bits": [ 13 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2745.9-2745.16"
+ }
+ },
+ "SBDATO0": {
+ "hide_name": 0,
+ "bits": [ 30 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2762.9-2762.16"
+ }
+ },
+ "SBDATO1": {
+ "hide_name": 0,
+ "bits": [ 29 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2761.9-2761.16"
+ }
+ },
+ "SBDATO2": {
+ "hide_name": 0,
+ "bits": [ 28 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2760.9-2760.16"
+ }
+ },
+ "SBDATO3": {
+ "hide_name": 0,
+ "bits": [ 27 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2759.9-2759.16"
+ }
+ },
+ "SBDATO4": {
+ "hide_name": 0,
+ "bits": [ 26 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2758.9-2758.16"
+ }
+ },
+ "SBDATO5": {
+ "hide_name": 0,
+ "bits": [ 25 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2757.9-2757.16"
+ }
+ },
+ "SBDATO6": {
+ "hide_name": 0,
+ "bits": [ 24 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2756.9-2756.16"
+ }
+ },
+ "SBDATO7": {
+ "hide_name": 0,
+ "bits": [ 23 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2755.9-2755.16"
+ }
+ },
+ "SBRWI": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2735.9-2735.14"
+ }
+ },
+ "SBSTBI": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2736.9-2736.15"
+ }
+ },
+ "SCLI": {
+ "hide_name": 0,
+ "bits": [ 21 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2753.9-2753.13"
+ }
+ },
+ "SCLO": {
+ "hide_name": 0,
+ "bits": [ 34 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2766.9-2766.13"
+ }
+ },
+ "SCLOE": {
+ "hide_name": 0,
+ "bits": [ 35 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2767.9-2767.14"
+ }
+ },
+ "SDAI": {
+ "hide_name": 0,
+ "bits": [ 22 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2754.9-2754.13"
+ }
+ },
+ "SDAO": {
+ "hide_name": 0,
+ "bits": [ 36 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2768.9-2768.13"
+ }
+ },
+ "SDAOE": {
+ "hide_name": 0,
+ "bits": [ 37 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2769.9-2769.14"
+ }
+ }
+ }
+ },
+ "SB_IO": {
+ "attributes": {
+ "blackbox": "00000000000000000000000000000001",
+ "cells_not_processed": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:17.1-121.10"
+ },
+ "parameter_default_values": {
+ "IO_STANDARD": "SB_LVCMOS",
+ "NEG_TRIGGER": "0",
+ "PIN_TYPE": "000000",
+ "PULLUP": "0"
+ },
+ "ports": {
+ "PACKAGE_PIN": {
+ "direction": "inout",
+ "bits": [ 2 ]
+ },
+ "LATCH_INPUT_VALUE": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "CLOCK_ENABLE": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "INPUT_CLK": {
+ "direction": "input",
+ "bits": [ 5 ]
+ },
+ "OUTPUT_CLK": {
+ "direction": "input",
+ "bits": [ 6 ]
+ },
+ "OUTPUT_ENABLE": {
+ "direction": "input",
+ "bits": [ 7 ]
+ },
+ "D_OUT_0": {
+ "direction": "input",
+ "bits": [ 8 ]
+ },
+ "D_OUT_1": {
+ "direction": "input",
+ "bits": [ 9 ]
+ },
+ "D_IN_0": {
+ "direction": "output",
+ "bits": [ 10 ]
+ },
+ "D_IN_1": {
+ "direction": "output",
+ "bits": [ 11 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "CLOCK_ENABLE": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "defaultvalue": "1",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:20.9-20.21"
+ }
+ },
+ "D_IN_0": {
+ "hide_name": 0,
+ "bits": [ 10 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:26.9-26.15"
+ }
+ },
+ "D_IN_1": {
+ "hide_name": 0,
+ "bits": [ 11 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:27.9-27.15"
+ }
+ },
+ "D_OUT_0": {
+ "hide_name": 0,
+ "bits": [ 8 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:24.9-24.16"
+ }
+ },
+ "D_OUT_1": {
+ "hide_name": 0,
+ "bits": [ 9 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:25.9-25.16"
+ }
+ },
+ "INPUT_CLK": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:21.9-21.18"
+ }
+ },
+ "LATCH_INPUT_VALUE": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:19.9-19.26"
+ }
+ },
+ "OUTPUT_CLK": {
+ "hide_name": 0,
+ "bits": [ 6 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:22.9-22.19"
+ }
+ },
+ "OUTPUT_ENABLE": {
+ "hide_name": 0,
+ "bits": [ 7 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:23.9-23.22"
+ }
+ },
+ "PACKAGE_PIN": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:18.9-18.20"
+ }
+ }
+ }
+ },
+ "SB_IO_I3C": {
+ "attributes": {
+ "blackbox": "00000000000000000000000000000001",
+ "cells_not_processed": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2862.1-2929.10"
+ },
+ "parameter_default_values": {
+ "IO_STANDARD": "SB_LVCMOS",
+ "NEG_TRIGGER": "0",
+ "PIN_TYPE": "000000",
+ "PULLUP": "0",
+ "WEAK_PULLUP": "0"
+ },
+ "ports": {
+ "PACKAGE_PIN": {
+ "direction": "inout",
+ "bits": [ 2 ]
+ },
+ "LATCH_INPUT_VALUE": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "CLOCK_ENABLE": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "INPUT_CLK": {
+ "direction": "input",
+ "bits": [ 5 ]
+ },
+ "OUTPUT_CLK": {
+ "direction": "input",
+ "bits": [ 6 ]
+ },
+ "OUTPUT_ENABLE": {
+ "direction": "input",
+ "bits": [ 7 ]
+ },
+ "D_OUT_0": {
+ "direction": "input",
+ "bits": [ 8 ]
+ },
+ "D_OUT_1": {
+ "direction": "input",
+ "bits": [ 9 ]
+ },
+ "D_IN_0": {
+ "direction": "output",
+ "bits": [ 10 ]
+ },
+ "D_IN_1": {
+ "direction": "output",
+ "bits": [ 11 ]
+ },
+ "PU_ENB": {
+ "direction": "input",
+ "bits": [ 12 ]
+ },
+ "WEAK_PU_ENB": {
+ "direction": "input",
+ "bits": [ 13 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "CLOCK_ENABLE": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2865.9-2865.21"
+ }
+ },
+ "D_IN_0": {
+ "hide_name": 0,
+ "bits": [ 10 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2871.9-2871.15"
+ }
+ },
+ "D_IN_1": {
+ "hide_name": 0,
+ "bits": [ 11 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2872.9-2872.15"
+ }
+ },
+ "D_OUT_0": {
+ "hide_name": 0,
+ "bits": [ 8 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2869.9-2869.16"
+ }
+ },
+ "D_OUT_1": {
+ "hide_name": 0,
+ "bits": [ 9 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2870.9-2870.16"
+ }
+ },
+ "INPUT_CLK": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2866.9-2866.18"
+ }
+ },
+ "LATCH_INPUT_VALUE": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2864.9-2864.26"
+ }
+ },
+ "OUTPUT_CLK": {
+ "hide_name": 0,
+ "bits": [ 6 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2867.9-2867.19"
+ }
+ },
+ "OUTPUT_ENABLE": {
+ "hide_name": 0,
+ "bits": [ 7 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2868.9-2868.22"
+ }
+ },
+ "PACKAGE_PIN": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2863.9-2863.20"
+ }
+ },
+ "PU_ENB": {
+ "hide_name": 0,
+ "bits": [ 12 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2873.9-2873.15"
+ }
+ },
+ "WEAK_PU_ENB": {
+ "hide_name": 0,
+ "bits": [ 13 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2874.9-2874.20"
+ }
+ }
+ }
+ },
+ "SB_IO_OD": {
+ "attributes": {
+ "blackbox": "00000000000000000000000000000001",
+ "cells_not_processed": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2931.1-2993.10"
+ },
+ "parameter_default_values": {
+ "NEG_TRIGGER": "0",
+ "PIN_TYPE": "000000"
+ },
+ "ports": {
+ "PACKAGEPIN": {
+ "direction": "inout",
+ "bits": [ 2 ]
+ },
+ "LATCHINPUTVALUE": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "CLOCKENABLE": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "INPUTCLK": {
+ "direction": "input",
+ "bits": [ 5 ]
+ },
+ "OUTPUTCLK": {
+ "direction": "input",
+ "bits": [ 6 ]
+ },
+ "OUTPUTENABLE": {
+ "direction": "input",
+ "bits": [ 7 ]
+ },
+ "DOUT1": {
+ "direction": "input",
+ "bits": [ 8 ]
+ },
+ "DOUT0": {
+ "direction": "input",
+ "bits": [ 9 ]
+ },
+ "DIN1": {
+ "direction": "output",
+ "bits": [ 10 ]
+ },
+ "DIN0": {
+ "direction": "output",
+ "bits": [ 11 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "CLOCKENABLE": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2934.9-2934.20"
+ }
+ },
+ "DIN0": {
+ "hide_name": 0,
+ "bits": [ 11 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2941.9-2941.13"
+ }
+ },
+ "DIN1": {
+ "hide_name": 0,
+ "bits": [ 10 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2940.9-2940.13"
+ }
+ },
+ "DOUT0": {
+ "hide_name": 0,
+ "bits": [ 9 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2939.9-2939.14"
+ }
+ },
+ "DOUT1": {
+ "hide_name": 0,
+ "bits": [ 8 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2938.9-2938.14"
+ }
+ },
+ "INPUTCLK": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2935.9-2935.17"
+ }
+ },
+ "LATCHINPUTVALUE": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2933.9-2933.24"
+ }
+ },
+ "OUTPUTCLK": {
+ "hide_name": 0,
+ "bits": [ 6 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2936.9-2936.18"
+ }
+ },
+ "OUTPUTENABLE": {
+ "hide_name": 0,
+ "bits": [ 7 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2937.9-2937.21"
+ }
+ },
+ "PACKAGEPIN": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2932.9-2932.19"
+ }
+ }
+ }
+ },
+ "SB_LEDDA_IP": {
+ "attributes": {
+ "blackbox": "00000000000000000000000000000001",
+ "cells_not_processed": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2830.1-2853.10"
+ },
+ "ports": {
+ "LEDDCS": {
+ "direction": "input",
+ "bits": [ 2 ]
+ },
+ "LEDDCLK": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "LEDDDAT7": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "LEDDDAT6": {
+ "direction": "input",
+ "bits": [ 5 ]
+ },
+ "LEDDDAT5": {
+ "direction": "input",
+ "bits": [ 6 ]
+ },
+ "LEDDDAT4": {
+ "direction": "input",
+ "bits": [ 7 ]
+ },
+ "LEDDDAT3": {
+ "direction": "input",
+ "bits": [ 8 ]
+ },
+ "LEDDDAT2": {
+ "direction": "input",
+ "bits": [ 9 ]
+ },
+ "LEDDDAT1": {
+ "direction": "input",
+ "bits": [ 10 ]
+ },
+ "LEDDDAT0": {
+ "direction": "input",
+ "bits": [ 11 ]
+ },
+ "LEDDADDR3": {
+ "direction": "input",
+ "bits": [ 12 ]
+ },
+ "LEDDADDR2": {
+ "direction": "input",
+ "bits": [ 13 ]
+ },
+ "LEDDADDR1": {
+ "direction": "input",
+ "bits": [ 14 ]
+ },
+ "LEDDADDR0": {
+ "direction": "input",
+ "bits": [ 15 ]
+ },
+ "LEDDDEN": {
+ "direction": "input",
+ "bits": [ 16 ]
+ },
+ "LEDDEXE": {
+ "direction": "input",
+ "bits": [ 17 ]
+ },
+ "LEDDRST": {
+ "direction": "input",
+ "bits": [ 18 ]
+ },
+ "PWMOUT0": {
+ "direction": "output",
+ "bits": [ 19 ]
+ },
+ "PWMOUT1": {
+ "direction": "output",
+ "bits": [ 20 ]
+ },
+ "PWMOUT2": {
+ "direction": "output",
+ "bits": [ 21 ]
+ },
+ "LEDDON": {
+ "direction": "output",
+ "bits": [ 22 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "LEDDADDR0": {
+ "hide_name": 0,
+ "bits": [ 15 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2844.8-2844.17"
+ }
+ },
+ "LEDDADDR1": {
+ "hide_name": 0,
+ "bits": [ 14 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2843.8-2843.17"
+ }
+ },
+ "LEDDADDR2": {
+ "hide_name": 0,
+ "bits": [ 13 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2842.8-2842.17"
+ }
+ },
+ "LEDDADDR3": {
+ "hide_name": 0,
+ "bits": [ 12 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2841.8-2841.17"
+ }
+ },
+ "LEDDCLK": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2832.8-2832.15"
+ }
+ },
+ "LEDDCS": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2831.8-2831.14"
+ }
+ },
+ "LEDDDAT0": {
+ "hide_name": 0,
+ "bits": [ 11 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2840.8-2840.16"
+ }
+ },
+ "LEDDDAT1": {
+ "hide_name": 0,
+ "bits": [ 10 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2839.8-2839.16"
+ }
+ },
+ "LEDDDAT2": {
+ "hide_name": 0,
+ "bits": [ 9 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2838.8-2838.16"
+ }
+ },
+ "LEDDDAT3": {
+ "hide_name": 0,
+ "bits": [ 8 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2837.8-2837.16"
+ }
+ },
+ "LEDDDAT4": {
+ "hide_name": 0,
+ "bits": [ 7 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2836.8-2836.16"
+ }
+ },
+ "LEDDDAT5": {
+ "hide_name": 0,
+ "bits": [ 6 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2835.8-2835.16"
+ }
+ },
+ "LEDDDAT6": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2834.8-2834.16"
+ }
+ },
+ "LEDDDAT7": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2833.8-2833.16"
+ }
+ },
+ "LEDDDEN": {
+ "hide_name": 0,
+ "bits": [ 16 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2845.8-2845.15"
+ }
+ },
+ "LEDDEXE": {
+ "hide_name": 0,
+ "bits": [ 17 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2846.8-2846.15"
+ }
+ },
+ "LEDDON": {
+ "hide_name": 0,
+ "bits": [ 22 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2851.9-2851.15"
+ }
+ },
+ "LEDDRST": {
+ "hide_name": 0,
+ "bits": [ 18 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2847.8-2847.15"
+ }
+ },
+ "PWMOUT0": {
+ "hide_name": 0,
+ "bits": [ 19 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2848.9-2848.16"
+ }
+ },
+ "PWMOUT1": {
+ "hide_name": 0,
+ "bits": [ 20 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2849.9-2849.16"
+ }
+ },
+ "PWMOUT2": {
+ "hide_name": 0,
+ "bits": [ 21 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2850.9-2850.16"
+ }
+ }
+ }
+ },
+ "SB_LED_DRV_CUR": {
+ "attributes": {
+ "blackbox": "00000000000000000000000000000001",
+ "cells_not_processed": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2709.1-2713.10"
+ },
+ "ports": {
+ "EN": {
+ "direction": "input",
+ "bits": [ 2 ]
+ },
+ "LEDPU": {
+ "direction": "output",
+ "bits": [ 3 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "EN": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2710.8-2710.10"
+ }
+ },
+ "LEDPU": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2711.9-2711.14"
+ }
+ }
+ }
+ },
+ "SB_LFOSC": {
+ "attributes": {
+ "blackbox": "00000000000000000000000000000001",
+ "cells_not_processed": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2684.1-2689.10"
+ },
+ "ports": {
+ "CLKLFPU": {
+ "direction": "input",
+ "bits": [ 2 ]
+ },
+ "CLKLFEN": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "CLKLF": {
+ "direction": "output",
+ "bits": [ 4 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "CLKLF": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2687.9-2687.14"
+ }
+ },
+ "CLKLFEN": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2686.8-2686.15"
+ }
+ },
+ "CLKLFPU": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2685.8-2685.15"
+ }
+ }
+ }
+ },
+ "SB_LUT4": {
+ "attributes": {
+ "abc9_lut": "00000000000000000000000000000001",
+ "blackbox": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:177.1-225.10"
+ },
+ "parameter_default_values": {
+ "LUT_INIT": "0000000000000000"
+ },
+ "ports": {
+ "O": {
+ "direction": "output",
+ "bits": [ 2 ]
+ },
+ "I0": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "I1": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "I2": {
+ "direction": "input",
+ "bits": [ 5 ]
+ },
+ "I3": {
+ "direction": "input",
+ "bits": [ 6 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "I0": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "defaultvalue": "0",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:179.8-179.10"
+ }
+ },
+ "I1": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "defaultvalue": "0",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:180.8-180.10"
+ }
+ },
+ "I2": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "defaultvalue": "0",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:181.8-181.10"
+ }
+ },
+ "I3": {
+ "hide_name": 0,
+ "bits": [ 6 ],
+ "attributes": {
+ "defaultvalue": "0",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:182.8-182.10"
+ }
+ },
+ "O": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:178.9-178.10"
+ }
+ }
+ }
+ },
+ "SB_MAC16": {
+ "attributes": {
+ "blackbox": "00000000000000000000000000000001",
+ "cells_not_processed": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2996.1-3164.10"
+ },
+ "parameter_default_values": {
+ "A_REG": "0",
+ "A_SIGNED": "0",
+ "BOTADDSUB_CARRYSELECT": "00",
+ "BOTADDSUB_LOWERINPUT": "00",
+ "BOTADDSUB_UPPERINPUT": "0",
+ "BOTOUTPUT_SELECT": "00",
+ "BOT_8x8_MULT_REG": "0",
+ "B_REG": "0",
+ "B_SIGNED": "0",
+ "C_REG": "0",
+ "D_REG": "0",
+ "MODE_8x8": "0",
+ "NEG_TRIGGER": "0",
+ "PIPELINE_16x16_MULT_REG1": "0",
+ "PIPELINE_16x16_MULT_REG2": "0",
+ "TOPADDSUB_CARRYSELECT": "00",
+ "TOPADDSUB_LOWERINPUT": "00",
+ "TOPADDSUB_UPPERINPUT": "0",
+ "TOPOUTPUT_SELECT": "00",
+ "TOP_8x8_MULT_REG": "0"
+ },
+ "ports": {
+ "CLK": {
+ "direction": "input",
+ "bits": [ 2 ]
+ },
+ "CE": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "C": {
+ "direction": "input",
+ "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ]
+ },
+ "A": {
+ "direction": "input",
+ "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ]
+ },
+ "B": {
+ "direction": "input",
+ "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ]
+ },
+ "D": {
+ "direction": "input",
+ "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ]
+ },
+ "AHOLD": {
+ "direction": "input",
+ "bits": [ 68 ]
+ },
+ "BHOLD": {
+ "direction": "input",
+ "bits": [ 69 ]
+ },
+ "CHOLD": {
+ "direction": "input",
+ "bits": [ 70 ]
+ },
+ "DHOLD": {
+ "direction": "input",
+ "bits": [ 71 ]
+ },
+ "IRSTTOP": {
+ "direction": "input",
+ "bits": [ 72 ]
+ },
+ "IRSTBOT": {
+ "direction": "input",
+ "bits": [ 73 ]
+ },
+ "ORSTTOP": {
+ "direction": "input",
+ "bits": [ 74 ]
+ },
+ "ORSTBOT": {
+ "direction": "input",
+ "bits": [ 75 ]
+ },
+ "OLOADTOP": {
+ "direction": "input",
+ "bits": [ 76 ]
+ },
+ "OLOADBOT": {
+ "direction": "input",
+ "bits": [ 77 ]
+ },
+ "ADDSUBTOP": {
+ "direction": "input",
+ "bits": [ 78 ]
+ },
+ "ADDSUBBOT": {
+ "direction": "input",
+ "bits": [ 79 ]
+ },
+ "OHOLDTOP": {
+ "direction": "input",
+ "bits": [ 80 ]
+ },
+ "OHOLDBOT": {
+ "direction": "input",
+ "bits": [ 81 ]
+ },
+ "CI": {
+ "direction": "input",
+ "bits": [ 82 ]
+ },
+ "ACCUMCI": {
+ "direction": "input",
+ "bits": [ 83 ]
+ },
+ "SIGNEXTIN": {
+ "direction": "input",
+ "bits": [ 84 ]
+ },
+ "O": {
+ "direction": "output",
+ "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ]
+ },
+ "CO": {
+ "direction": "output",
+ "bits": [ 117 ]
+ },
+ "ACCUMCO": {
+ "direction": "output",
+ "bits": [ 118 ]
+ },
+ "SIGNEXTOUT": {
+ "direction": "output",
+ "bits": [ 119 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "A": {
+ "hide_name": 0,
+ "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2998.18-2998.19"
+ }
+ },
+ "ACCUMCI": {
+ "hide_name": 0,
+ "bits": [ 83 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3005.12-3005.19"
+ }
+ },
+ "ACCUMCO": {
+ "hide_name": 0,
+ "bits": [ 118 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3007.13-3007.20"
+ }
+ },
+ "ADDSUBBOT": {
+ "hide_name": 0,
+ "bits": [ 79 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3003.19-3003.28"
+ }
+ },
+ "ADDSUBTOP": {
+ "hide_name": 0,
+ "bits": [ 78 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3003.8-3003.17"
+ }
+ },
+ "AHOLD": {
+ "hide_name": 0,
+ "bits": [ 68 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2999.8-2999.13"
+ }
+ },
+ "B": {
+ "hide_name": 0,
+ "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2998.21-2998.22"
+ }
+ },
+ "BHOLD": {
+ "hide_name": 0,
+ "bits": [ 69 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2999.15-2999.20"
+ }
+ },
+ "C": {
+ "hide_name": 0,
+ "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2998.15-2998.16"
+ }
+ },
+ "CE": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2997.13-2997.15"
+ }
+ },
+ "CHOLD": {
+ "hide_name": 0,
+ "bits": [ 70 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2999.22-2999.27"
+ }
+ },
+ "CI": {
+ "hide_name": 0,
+ "bits": [ 82 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3005.8-3005.10"
+ }
+ },
+ "CLK": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2997.8-2997.11"
+ }
+ },
+ "CO": {
+ "hide_name": 0,
+ "bits": [ 117 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3007.9-3007.11"
+ }
+ },
+ "D": {
+ "hide_name": 0,
+ "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2998.24-2998.25"
+ }
+ },
+ "DHOLD": {
+ "hide_name": 0,
+ "bits": [ 71 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2999.29-2999.34"
+ }
+ },
+ "IRSTBOT": {
+ "hide_name": 0,
+ "bits": [ 73 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3000.17-3000.24"
+ }
+ },
+ "IRSTTOP": {
+ "hide_name": 0,
+ "bits": [ 72 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3000.8-3000.15"
+ }
+ },
+ "O": {
+ "hide_name": 0,
+ "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3006.16-3006.17"
+ }
+ },
+ "OHOLDBOT": {
+ "hide_name": 0,
+ "bits": [ 81 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3004.18-3004.26"
+ }
+ },
+ "OHOLDTOP": {
+ "hide_name": 0,
+ "bits": [ 80 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3004.8-3004.16"
+ }
+ },
+ "OLOADBOT": {
+ "hide_name": 0,
+ "bits": [ 77 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3002.18-3002.26"
+ }
+ },
+ "OLOADTOP": {
+ "hide_name": 0,
+ "bits": [ 76 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3002.8-3002.16"
+ }
+ },
+ "ORSTBOT": {
+ "hide_name": 0,
+ "bits": [ 75 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3001.17-3001.24"
+ }
+ },
+ "ORSTTOP": {
+ "hide_name": 0,
+ "bits": [ 74 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3001.8-3001.15"
+ }
+ },
+ "SIGNEXTIN": {
+ "hide_name": 0,
+ "bits": [ 84 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3005.21-3005.30"
+ }
+ },
+ "SIGNEXTOUT": {
+ "hide_name": 0,
+ "bits": [ 119 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:3007.22-3007.32"
+ }
+ }
+ }
+ },
+ "SB_PLL40_2F_CORE": {
+ "attributes": {
+ "blackbox": "00000000000000000000000000000001",
+ "cells_not_processed": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2521.1-2553.10"
+ },
+ "parameter_default_values": {
+ "DELAY_ADJUSTMENT_MODE_FEEDBACK": "FIXED",
+ "DELAY_ADJUSTMENT_MODE_RELATIVE": "FIXED",
+ "DIVF": "0000000",
+ "DIVQ": "000",
+ "DIVR": "0000",
+ "ENABLE_ICEGATE_PORTA": "0",
+ "ENABLE_ICEGATE_PORTB": "0",
+ "EXTERNAL_DIVIDE_FACTOR": "00000000000000000000000000000001",
+ "FDA_FEEDBACK": "0000",
+ "FDA_RELATIVE": "0000",
+ "FEEDBACK_PATH": "SIMPLE",
+ "FILTER_RANGE": "000",
+ "PLLOUT_SELECT_PORTA": "GENCLK",
+ "PLLOUT_SELECT_PORTB": "GENCLK",
+ "SHIFTREG_DIV_MODE": "0",
+ "TEST_MODE": "0"
+ },
+ "ports": {
+ "REFERENCECLK": {
+ "direction": "input",
+ "bits": [ 2 ]
+ },
+ "PLLOUTCOREA": {
+ "direction": "output",
+ "bits": [ 3 ]
+ },
+ "PLLOUTGLOBALA": {
+ "direction": "output",
+ "bits": [ 4 ]
+ },
+ "PLLOUTCOREB": {
+ "direction": "output",
+ "bits": [ 5 ]
+ },
+ "PLLOUTGLOBALB": {
+ "direction": "output",
+ "bits": [ 6 ]
+ },
+ "EXTFEEDBACK": {
+ "direction": "input",
+ "bits": [ 7 ]
+ },
+ "DYNAMICDELAY": {
+ "direction": "input",
+ "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
+ },
+ "LOCK": {
+ "direction": "output",
+ "bits": [ 16 ]
+ },
+ "BYPASS": {
+ "direction": "input",
+ "bits": [ 17 ]
+ },
+ "RESETB": {
+ "direction": "input",
+ "bits": [ 18 ]
+ },
+ "LATCHINPUTVALUE": {
+ "direction": "input",
+ "bits": [ 19 ]
+ },
+ "SDO": {
+ "direction": "output",
+ "bits": [ 20 ]
+ },
+ "SDI": {
+ "direction": "input",
+ "bits": [ 21 ]
+ },
+ "SCLK": {
+ "direction": "input",
+ "bits": [ 22 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "BYPASS": {
+ "hide_name": 0,
+ "bits": [ 17 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2530.10-2530.16"
+ }
+ },
+ "DYNAMICDELAY": {
+ "hide_name": 0,
+ "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2528.16-2528.28"
+ }
+ },
+ "EXTFEEDBACK": {
+ "hide_name": 0,
+ "bits": [ 7 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2527.10-2527.21"
+ }
+ },
+ "LATCHINPUTVALUE": {
+ "hide_name": 0,
+ "bits": [ 19 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2532.10-2532.25"
+ }
+ },
+ "LOCK": {
+ "hide_name": 0,
+ "bits": [ 16 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2529.10-2529.14"
+ }
+ },
+ "PLLOUTCOREA": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2523.10-2523.21"
+ }
+ },
+ "PLLOUTCOREB": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2525.10-2525.21"
+ }
+ },
+ "PLLOUTGLOBALA": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2524.10-2524.23"
+ }
+ },
+ "PLLOUTGLOBALB": {
+ "hide_name": 0,
+ "bits": [ 6 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2526.10-2526.23"
+ }
+ },
+ "REFERENCECLK": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2522.10-2522.22"
+ }
+ },
+ "RESETB": {
+ "hide_name": 0,
+ "bits": [ 18 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2531.10-2531.16"
+ }
+ },
+ "SCLK": {
+ "hide_name": 0,
+ "bits": [ 22 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2535.10-2535.14"
+ }
+ },
+ "SDI": {
+ "hide_name": 0,
+ "bits": [ 21 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2534.10-2534.13"
+ }
+ },
+ "SDO": {
+ "hide_name": 0,
+ "bits": [ 20 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2533.10-2533.13"
+ }
+ }
+ }
+ },
+ "SB_PLL40_2F_PAD": {
+ "attributes": {
+ "blackbox": "00000000000000000000000000000001",
+ "cells_not_processed": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2556.1-2588.10"
+ },
+ "parameter_default_values": {
+ "DELAY_ADJUSTMENT_MODE_FEEDBACK": "FIXED",
+ "DELAY_ADJUSTMENT_MODE_RELATIVE": "FIXED",
+ "DIVF": "0000000",
+ "DIVQ": "000",
+ "DIVR": "0000",
+ "ENABLE_ICEGATE_PORTA": "0",
+ "ENABLE_ICEGATE_PORTB": "0",
+ "EXTERNAL_DIVIDE_FACTOR": "00000000000000000000000000000001",
+ "FDA_FEEDBACK": "0000",
+ "FDA_RELATIVE": "0000",
+ "FEEDBACK_PATH": "SIMPLE",
+ "FILTER_RANGE": "000",
+ "PLLOUT_SELECT_PORTA": "GENCLK",
+ "PLLOUT_SELECT_PORTB": "GENCLK",
+ "SHIFTREG_DIV_MODE": "00",
+ "TEST_MODE": "0"
+ },
+ "ports": {
+ "PACKAGEPIN": {
+ "direction": "input",
+ "bits": [ 2 ]
+ },
+ "PLLOUTCOREA": {
+ "direction": "output",
+ "bits": [ 3 ]
+ },
+ "PLLOUTGLOBALA": {
+ "direction": "output",
+ "bits": [ 4 ]
+ },
+ "PLLOUTCOREB": {
+ "direction": "output",
+ "bits": [ 5 ]
+ },
+ "PLLOUTGLOBALB": {
+ "direction": "output",
+ "bits": [ 6 ]
+ },
+ "EXTFEEDBACK": {
+ "direction": "input",
+ "bits": [ 7 ]
+ },
+ "DYNAMICDELAY": {
+ "direction": "input",
+ "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
+ },
+ "LOCK": {
+ "direction": "output",
+ "bits": [ 16 ]
+ },
+ "BYPASS": {
+ "direction": "input",
+ "bits": [ 17 ]
+ },
+ "RESETB": {
+ "direction": "input",
+ "bits": [ 18 ]
+ },
+ "LATCHINPUTVALUE": {
+ "direction": "input",
+ "bits": [ 19 ]
+ },
+ "SDO": {
+ "direction": "output",
+ "bits": [ 20 ]
+ },
+ "SDI": {
+ "direction": "input",
+ "bits": [ 21 ]
+ },
+ "SCLK": {
+ "direction": "input",
+ "bits": [ 22 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "BYPASS": {
+ "hide_name": 0,
+ "bits": [ 17 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2565.10-2565.16"
+ }
+ },
+ "DYNAMICDELAY": {
+ "hide_name": 0,
+ "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2563.16-2563.28"
+ }
+ },
+ "EXTFEEDBACK": {
+ "hide_name": 0,
+ "bits": [ 7 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2562.10-2562.21"
+ }
+ },
+ "LATCHINPUTVALUE": {
+ "hide_name": 0,
+ "bits": [ 19 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2567.10-2567.25"
+ }
+ },
+ "LOCK": {
+ "hide_name": 0,
+ "bits": [ 16 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2564.10-2564.14"
+ }
+ },
+ "PACKAGEPIN": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2557.10-2557.20"
+ }
+ },
+ "PLLOUTCOREA": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2558.10-2558.21"
+ }
+ },
+ "PLLOUTCOREB": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2560.10-2560.21"
+ }
+ },
+ "PLLOUTGLOBALA": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2559.10-2559.23"
+ }
+ },
+ "PLLOUTGLOBALB": {
+ "hide_name": 0,
+ "bits": [ 6 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2561.10-2561.23"
+ }
+ },
+ "RESETB": {
+ "hide_name": 0,
+ "bits": [ 18 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2566.10-2566.16"
+ }
+ },
+ "SCLK": {
+ "hide_name": 0,
+ "bits": [ 22 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2570.10-2570.14"
+ }
+ },
+ "SDI": {
+ "hide_name": 0,
+ "bits": [ 21 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2569.10-2569.13"
+ }
+ },
+ "SDO": {
+ "hide_name": 0,
+ "bits": [ 20 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2568.10-2568.13"
+ }
+ }
+ }
+ },
+ "SB_PLL40_2_PAD": {
+ "attributes": {
+ "blackbox": "00000000000000000000000000000001",
+ "cells_not_processed": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2487.1-2518.10"
+ },
+ "parameter_default_values": {
+ "DELAY_ADJUSTMENT_MODE_FEEDBACK": "FIXED",
+ "DELAY_ADJUSTMENT_MODE_RELATIVE": "FIXED",
+ "DIVF": "0000000",
+ "DIVQ": "000",
+ "DIVR": "0000",
+ "ENABLE_ICEGATE_PORTA": "0",
+ "ENABLE_ICEGATE_PORTB": "0",
+ "EXTERNAL_DIVIDE_FACTOR": "00000000000000000000000000000001",
+ "FDA_FEEDBACK": "0000",
+ "FDA_RELATIVE": "0000",
+ "FEEDBACK_PATH": "SIMPLE",
+ "FILTER_RANGE": "000",
+ "PLLOUT_SELECT_PORTB": "GENCLK",
+ "SHIFTREG_DIV_MODE": "0",
+ "TEST_MODE": "0"
+ },
+ "ports": {
+ "PACKAGEPIN": {
+ "direction": "input",
+ "bits": [ 2 ]
+ },
+ "PLLOUTCOREA": {
+ "direction": "output",
+ "bits": [ 3 ]
+ },
+ "PLLOUTGLOBALA": {
+ "direction": "output",
+ "bits": [ 4 ]
+ },
+ "PLLOUTCOREB": {
+ "direction": "output",
+ "bits": [ 5 ]
+ },
+ "PLLOUTGLOBALB": {
+ "direction": "output",
+ "bits": [ 6 ]
+ },
+ "EXTFEEDBACK": {
+ "direction": "input",
+ "bits": [ 7 ]
+ },
+ "DYNAMICDELAY": {
+ "direction": "input",
+ "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
+ },
+ "LOCK": {
+ "direction": "output",
+ "bits": [ 16 ]
+ },
+ "BYPASS": {
+ "direction": "input",
+ "bits": [ 17 ]
+ },
+ "RESETB": {
+ "direction": "input",
+ "bits": [ 18 ]
+ },
+ "LATCHINPUTVALUE": {
+ "direction": "input",
+ "bits": [ 19 ]
+ },
+ "SDO": {
+ "direction": "output",
+ "bits": [ 20 ]
+ },
+ "SDI": {
+ "direction": "input",
+ "bits": [ 21 ]
+ },
+ "SCLK": {
+ "direction": "input",
+ "bits": [ 22 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "BYPASS": {
+ "hide_name": 0,
+ "bits": [ 17 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2496.10-2496.16"
+ }
+ },
+ "DYNAMICDELAY": {
+ "hide_name": 0,
+ "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2494.16-2494.28"
+ }
+ },
+ "EXTFEEDBACK": {
+ "hide_name": 0,
+ "bits": [ 7 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2493.10-2493.21"
+ }
+ },
+ "LATCHINPUTVALUE": {
+ "hide_name": 0,
+ "bits": [ 19 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2498.10-2498.25"
+ }
+ },
+ "LOCK": {
+ "hide_name": 0,
+ "bits": [ 16 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2495.10-2495.14"
+ }
+ },
+ "PACKAGEPIN": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2488.10-2488.20"
+ }
+ },
+ "PLLOUTCOREA": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2489.10-2489.21"
+ }
+ },
+ "PLLOUTCOREB": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2491.10-2491.21"
+ }
+ },
+ "PLLOUTGLOBALA": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2490.10-2490.23"
+ }
+ },
+ "PLLOUTGLOBALB": {
+ "hide_name": 0,
+ "bits": [ 6 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2492.10-2492.23"
+ }
+ },
+ "RESETB": {
+ "hide_name": 0,
+ "bits": [ 18 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2497.10-2497.16"
+ }
+ },
+ "SCLK": {
+ "hide_name": 0,
+ "bits": [ 22 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2501.10-2501.14"
+ }
+ },
+ "SDI": {
+ "hide_name": 0,
+ "bits": [ 21 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2500.10-2500.13"
+ }
+ },
+ "SDO": {
+ "hide_name": 0,
+ "bits": [ 20 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2499.10-2499.13"
+ }
+ }
+ }
+ },
+ "SB_PLL40_CORE": {
+ "attributes": {
+ "blackbox": "00000000000000000000000000000001",
+ "cells_not_processed": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2425.1-2453.10"
+ },
+ "parameter_default_values": {
+ "DELAY_ADJUSTMENT_MODE_FEEDBACK": "FIXED",
+ "DELAY_ADJUSTMENT_MODE_RELATIVE": "FIXED",
+ "DIVF": "0000000",
+ "DIVQ": "000",
+ "DIVR": "0000",
+ "ENABLE_ICEGATE": "0",
+ "EXTERNAL_DIVIDE_FACTOR": "00000000000000000000000000000001",
+ "FDA_FEEDBACK": "0000",
+ "FDA_RELATIVE": "0000",
+ "FEEDBACK_PATH": "SIMPLE",
+ "FILTER_RANGE": "000",
+ "PLLOUT_SELECT": "GENCLK",
+ "SHIFTREG_DIV_MODE": "0",
+ "TEST_MODE": "0"
+ },
+ "ports": {
+ "REFERENCECLK": {
+ "direction": "input",
+ "bits": [ 2 ]
+ },
+ "PLLOUTCORE": {
+ "direction": "output",
+ "bits": [ 3 ]
+ },
+ "PLLOUTGLOBAL": {
+ "direction": "output",
+ "bits": [ 4 ]
+ },
+ "EXTFEEDBACK": {
+ "direction": "input",
+ "bits": [ 5 ]
+ },
+ "DYNAMICDELAY": {
+ "direction": "input",
+ "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
+ },
+ "LOCK": {
+ "direction": "output",
+ "bits": [ 14 ]
+ },
+ "BYPASS": {
+ "direction": "input",
+ "bits": [ 15 ]
+ },
+ "RESETB": {
+ "direction": "input",
+ "bits": [ 16 ]
+ },
+ "LATCHINPUTVALUE": {
+ "direction": "input",
+ "bits": [ 17 ]
+ },
+ "SDO": {
+ "direction": "output",
+ "bits": [ 18 ]
+ },
+ "SDI": {
+ "direction": "input",
+ "bits": [ 19 ]
+ },
+ "SCLK": {
+ "direction": "input",
+ "bits": [ 20 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "BYPASS": {
+ "hide_name": 0,
+ "bits": [ 15 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2432.10-2432.16"
+ }
+ },
+ "DYNAMICDELAY": {
+ "hide_name": 0,
+ "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2430.16-2430.28"
+ }
+ },
+ "EXTFEEDBACK": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2429.10-2429.21"
+ }
+ },
+ "LATCHINPUTVALUE": {
+ "hide_name": 0,
+ "bits": [ 17 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2434.10-2434.25"
+ }
+ },
+ "LOCK": {
+ "hide_name": 0,
+ "bits": [ 14 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2431.10-2431.14"
+ }
+ },
+ "PLLOUTCORE": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2427.10-2427.20"
+ }
+ },
+ "PLLOUTGLOBAL": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2428.10-2428.22"
+ }
+ },
+ "REFERENCECLK": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2426.10-2426.22"
+ }
+ },
+ "RESETB": {
+ "hide_name": 0,
+ "bits": [ 16 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2433.10-2433.16"
+ }
+ },
+ "SCLK": {
+ "hide_name": 0,
+ "bits": [ 20 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2437.10-2437.14"
+ }
+ },
+ "SDI": {
+ "hide_name": 0,
+ "bits": [ 19 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2436.10-2436.13"
+ }
+ },
+ "SDO": {
+ "hide_name": 0,
+ "bits": [ 18 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2435.10-2435.13"
+ }
+ }
+ }
+ },
+ "SB_PLL40_PAD": {
+ "attributes": {
+ "blackbox": "00000000000000000000000000000001",
+ "cells_not_processed": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2456.1-2484.10"
+ },
+ "parameter_default_values": {
+ "DELAY_ADJUSTMENT_MODE_FEEDBACK": "FIXED",
+ "DELAY_ADJUSTMENT_MODE_RELATIVE": "FIXED",
+ "DIVF": "0000000",
+ "DIVQ": "000",
+ "DIVR": "0000",
+ "ENABLE_ICEGATE": "0",
+ "EXTERNAL_DIVIDE_FACTOR": "00000000000000000000000000000001",
+ "FDA_FEEDBACK": "0000",
+ "FDA_RELATIVE": "0000",
+ "FEEDBACK_PATH": "SIMPLE",
+ "FILTER_RANGE": "000",
+ "PLLOUT_SELECT": "GENCLK",
+ "SHIFTREG_DIV_MODE": "0",
+ "TEST_MODE": "0"
+ },
+ "ports": {
+ "PACKAGEPIN": {
+ "direction": "input",
+ "bits": [ 2 ]
+ },
+ "PLLOUTCORE": {
+ "direction": "output",
+ "bits": [ 3 ]
+ },
+ "PLLOUTGLOBAL": {
+ "direction": "output",
+ "bits": [ 4 ]
+ },
+ "EXTFEEDBACK": {
+ "direction": "input",
+ "bits": [ 5 ]
+ },
+ "DYNAMICDELAY": {
+ "direction": "input",
+ "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
+ },
+ "LOCK": {
+ "direction": "output",
+ "bits": [ 14 ]
+ },
+ "BYPASS": {
+ "direction": "input",
+ "bits": [ 15 ]
+ },
+ "RESETB": {
+ "direction": "input",
+ "bits": [ 16 ]
+ },
+ "LATCHINPUTVALUE": {
+ "direction": "input",
+ "bits": [ 17 ]
+ },
+ "SDO": {
+ "direction": "output",
+ "bits": [ 18 ]
+ },
+ "SDI": {
+ "direction": "input",
+ "bits": [ 19 ]
+ },
+ "SCLK": {
+ "direction": "input",
+ "bits": [ 20 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "BYPASS": {
+ "hide_name": 0,
+ "bits": [ 15 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2463.10-2463.16"
+ }
+ },
+ "DYNAMICDELAY": {
+ "hide_name": 0,
+ "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2461.16-2461.28"
+ }
+ },
+ "EXTFEEDBACK": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2460.10-2460.21"
+ }
+ },
+ "LATCHINPUTVALUE": {
+ "hide_name": 0,
+ "bits": [ 17 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2465.10-2465.25"
+ }
+ },
+ "LOCK": {
+ "hide_name": 0,
+ "bits": [ 14 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2462.10-2462.14"
+ }
+ },
+ "PACKAGEPIN": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2457.10-2457.20"
+ }
+ },
+ "PLLOUTCORE": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2458.10-2458.20"
+ }
+ },
+ "PLLOUTGLOBAL": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2459.10-2459.22"
+ }
+ },
+ "RESETB": {
+ "hide_name": 0,
+ "bits": [ 16 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2464.10-2464.16"
+ }
+ },
+ "SCLK": {
+ "hide_name": 0,
+ "bits": [ 20 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2468.10-2468.14"
+ }
+ },
+ "SDI": {
+ "hide_name": 0,
+ "bits": [ 19 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2467.10-2467.13"
+ }
+ },
+ "SDO": {
+ "hide_name": 0,
+ "bits": [ 18 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2466.10-2466.13"
+ }
+ }
+ }
+ },
+ "SB_RAM40_4K": {
+ "attributes": {
+ "blackbox": "00000000000000000000000000000001",
+ "cells_not_processed": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1487.1-1724.10"
+ },
+ "parameter_default_values": {
+ "INIT_0": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_1": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_2": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_3": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_4": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_5": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_6": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_7": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_8": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_9": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_FILE": " ",
+ "READ_MODE": "00000000000000000000000000000000",
+ "WRITE_MODE": "00000000000000000000000000000000"
+ },
+ "ports": {
+ "RDATA": {
+ "direction": "output",
+ "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
+ },
+ "RCLK": {
+ "direction": "input",
+ "bits": [ 18 ]
+ },
+ "RCLKE": {
+ "direction": "input",
+ "bits": [ 19 ]
+ },
+ "RE": {
+ "direction": "input",
+ "bits": [ 20 ]
+ },
+ "RADDR": {
+ "direction": "input",
+ "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
+ },
+ "WCLK": {
+ "direction": "input",
+ "bits": [ 32 ]
+ },
+ "WCLKE": {
+ "direction": "input",
+ "bits": [ 33 ]
+ },
+ "WE": {
+ "direction": "input",
+ "bits": [ 34 ]
+ },
+ "WADDR": {
+ "direction": "input",
+ "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
+ },
+ "MASK": {
+ "direction": "input",
+ "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
+ },
+ "WDATA": {
+ "direction": "input",
+ "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
+ }
+ },
+ "cells": {
+ "$logic_and$/usr/bin/../share/yosys/ice40/cells_sim.v:1661$245": {
+ "hide_name": 1,
+ "type": "$logic_and",
+ "parameters": {
+ "A_SIGNED": "00000000000000000000000000000000",
+ "A_WIDTH": "00000000000000000000000000000001",
+ "B_SIGNED": "00000000000000000000000000000000",
+ "B_WIDTH": "00000000000000000000000000000001",
+ "Y_WIDTH": "00000000000000000000000000000001"
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1661.33-1661.44"
+ },
+ "port_directions": {
+ "A": "input",
+ "B": "input",
+ "Y": "output"
+ },
+ "connections": {
+ "A": [ 34 ],
+ "B": [ 33 ],
+ "Y": [ 78 ]
+ }
+ },
+ "$logic_and$/usr/bin/../share/yosys/ice40/cells_sim.v:1663$246": {
+ "hide_name": 1,
+ "type": "$logic_and",
+ "parameters": {
+ "A_SIGNED": "00000000000000000000000000000000",
+ "A_WIDTH": "00000000000000000000000000000001",
+ "B_SIGNED": "00000000000000000000000000000000",
+ "B_WIDTH": "00000000000000000000000000000001",
+ "Y_WIDTH": "00000000000000000000000000000001"
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1663.34-1663.45"
+ },
+ "port_directions": {
+ "A": "input",
+ "B": "input",
+ "Y": "output"
+ },
+ "connections": {
+ "A": [ 20 ],
+ "B": [ 19 ],
+ "Y": [ 79 ]
+ }
+ },
+ "$logic_and$/usr/bin/../share/yosys/ice40/cells_sim.v:1669$247": {
+ "hide_name": 1,
+ "type": "$logic_and",
+ "parameters": {
+ "A_SIGNED": "00000000000000000000000000000000",
+ "A_WIDTH": "00000000000000000000000000000001",
+ "B_SIGNED": "00000000000000000000000000000000",
+ "B_WIDTH": "00000000000000000000000000000001",
+ "Y_WIDTH": "00000000000000000000000000000001"
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1669.34-1669.45"
+ },
+ "port_directions": {
+ "A": "input",
+ "B": "input",
+ "Y": "output"
+ },
+ "connections": {
+ "A": [ 34 ],
+ "B": [ 33 ],
+ "Y": [ 80 ]
+ }
+ },
+ "$logic_and$/usr/bin/../share/yosys/ice40/cells_sim.v:1673$248": {
+ "hide_name": 1,
+ "type": "$logic_and",
+ "parameters": {
+ "A_SIGNED": "00000000000000000000000000000000",
+ "A_WIDTH": "00000000000000000000000000000001",
+ "B_SIGNED": "00000000000000000000000000000000",
+ "B_WIDTH": "00000000000000000000000000000001",
+ "Y_WIDTH": "00000000000000000000000000000001"
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1673.34-1673.45"
+ },
+ "port_directions": {
+ "A": "input",
+ "B": "input",
+ "Y": "output"
+ },
+ "connections": {
+ "A": [ 34 ],
+ "B": [ 33 ],
+ "Y": [ 81 ]
+ }
+ },
+ "$specify$90": {
+ "hide_name": 1,
+ "type": "$specrule",
+ "parameters": {
+ "DST_PEN": "1",
+ "DST_POL": "1",
+ "DST_WIDTH": "00000000000000000000000000000001",
+ "SRC_PEN": "0",
+ "SRC_POL": "0",
+ "SRC_WIDTH": "00000000000000000000000000010000",
+ "TYPE": "$setup",
+ "T_LIMIT2_MAX": "00000000000000000000000000000000",
+ "T_LIMIT2_MIN": "00000000000000000000000000000000",
+ "T_LIMIT2_TYP": "00000000000000000000000000000000",
+ "T_LIMIT_MAX": "00000000000000000000000100010010",
+ "T_LIMIT_MIN": "00000000000000000000000100010010",
+ "T_LIMIT_TYP": "00000000000000000000000100010010"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1661.3-1661.51"
+ },
+ "port_directions": {
+ "DST": "input",
+ "DST_EN": "output",
+ "SRC": "input",
+ "SRC_EN": "output"
+ },
+ "connections": {
+ "DST": [ 32 ],
+ "DST_EN": [ 78 ],
+ "SRC": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
+ "SRC_EN": [ "1" ]
+ }
+ },
+ "$specify$91": {
+ "hide_name": 1,
+ "type": "$specrule",
+ "parameters": {
+ "DST_PEN": "1",
+ "DST_POL": "1",
+ "DST_WIDTH": "00000000000000000000000000000001",
+ "SRC_PEN": "0",
+ "SRC_POL": "0",
+ "SRC_WIDTH": "00000000000000000000000000001011",
+ "TYPE": "$setup",
+ "T_LIMIT2_MAX": "00000000000000000000000000000000",
+ "T_LIMIT2_MIN": "00000000000000000000000000000000",
+ "T_LIMIT2_TYP": "00000000000000000000000000000000",
+ "T_LIMIT_MAX": "00000000000000000000000011001011",
+ "T_LIMIT_MIN": "00000000000000000000000011001011",
+ "T_LIMIT_TYP": "00000000000000000000000011001011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1663.3-1663.52"
+ },
+ "port_directions": {
+ "DST": "input",
+ "DST_EN": "output",
+ "SRC": "input",
+ "SRC_EN": "output"
+ },
+ "connections": {
+ "DST": [ 18 ],
+ "DST_EN": [ 79 ],
+ "SRC": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
+ "SRC_EN": [ "1" ]
+ }
+ },
+ "$specify$92": {
+ "hide_name": 1,
+ "type": "$specrule",
+ "parameters": {
+ "DST_PEN": "1",
+ "DST_POL": "1",
+ "DST_WIDTH": "00000000000000000000000000000001",
+ "SRC_PEN": "0",
+ "SRC_POL": "0",
+ "SRC_WIDTH": "00000000000000000000000000000001",
+ "TYPE": "$setup",
+ "T_LIMIT2_MAX": "00000000000000000000000000000000",
+ "T_LIMIT2_MIN": "00000000000000000000000000000000",
+ "T_LIMIT2_TYP": "00000000000000000000000000000000",
+ "T_LIMIT_MAX": "00000000000000000000000100001011",
+ "T_LIMIT_MIN": "00000000000000000000000100001011",
+ "T_LIMIT_TYP": "00000000000000000000000100001011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1665.3-1665.36"
+ },
+ "port_directions": {
+ "DST": "input",
+ "DST_EN": "output",
+ "SRC": "input",
+ "SRC_EN": "output"
+ },
+ "connections": {
+ "DST": [ 18 ],
+ "DST_EN": [ "1" ],
+ "SRC": [ 19 ],
+ "SRC_EN": [ "1" ]
+ }
+ },
+ "$specify$93": {
+ "hide_name": 1,
+ "type": "$specrule",
+ "parameters": {
+ "DST_PEN": "1",
+ "DST_POL": "1",
+ "DST_WIDTH": "00000000000000000000000000000001",
+ "SRC_PEN": "0",
+ "SRC_POL": "0",
+ "SRC_WIDTH": "00000000000000000000000000000001",
+ "TYPE": "$setup",
+ "T_LIMIT2_MAX": "00000000000000000000000000000000",
+ "T_LIMIT2_MIN": "00000000000000000000000000000000",
+ "T_LIMIT2_TYP": "00000000000000000000000000000000",
+ "T_LIMIT_MAX": "00000000000000000000000001100010",
+ "T_LIMIT_MIN": "00000000000000000000000001100010",
+ "T_LIMIT_TYP": "00000000000000000000000001100010"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1667.3-1667.32"
+ },
+ "port_directions": {
+ "DST": "input",
+ "DST_EN": "output",
+ "SRC": "input",
+ "SRC_EN": "output"
+ },
+ "connections": {
+ "DST": [ 18 ],
+ "DST_EN": [ "1" ],
+ "SRC": [ 20 ],
+ "SRC_EN": [ "1" ]
+ }
+ },
+ "$specify$94": {
+ "hide_name": 1,
+ "type": "$specrule",
+ "parameters": {
+ "DST_PEN": "1",
+ "DST_POL": "1",
+ "DST_WIDTH": "00000000000000000000000000000001",
+ "SRC_PEN": "0",
+ "SRC_POL": "0",
+ "SRC_WIDTH": "00000000000000000000000000001011",
+ "TYPE": "$setup",
+ "T_LIMIT2_MAX": "00000000000000000000000000000000",
+ "T_LIMIT2_MIN": "00000000000000000000000000000000",
+ "T_LIMIT2_TYP": "00000000000000000000000000000000",
+ "T_LIMIT_MAX": "00000000000000000000000011100000",
+ "T_LIMIT_MIN": "00000000000000000000000011100000",
+ "T_LIMIT_TYP": "00000000000000000000000011100000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1669.3-1669.52"
+ },
+ "port_directions": {
+ "DST": "input",
+ "DST_EN": "output",
+ "SRC": "input",
+ "SRC_EN": "output"
+ },
+ "connections": {
+ "DST": [ 32 ],
+ "DST_EN": [ 80 ],
+ "SRC": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
+ "SRC_EN": [ "1" ]
+ }
+ },
+ "$specify$95": {
+ "hide_name": 1,
+ "type": "$specrule",
+ "parameters": {
+ "DST_PEN": "1",
+ "DST_POL": "1",
+ "DST_WIDTH": "00000000000000000000000000000001",
+ "SRC_PEN": "0",
+ "SRC_POL": "0",
+ "SRC_WIDTH": "00000000000000000000000000000001",
+ "TYPE": "$setup",
+ "T_LIMIT2_MAX": "00000000000000000000000000000000",
+ "T_LIMIT2_MIN": "00000000000000000000000000000000",
+ "T_LIMIT2_TYP": "00000000000000000000000000000000",
+ "T_LIMIT_MAX": "00000000000000000000000100001011",
+ "T_LIMIT_MIN": "00000000000000000000000100001011",
+ "T_LIMIT_TYP": "00000000000000000000000100001011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1671.3-1671.36"
+ },
+ "port_directions": {
+ "DST": "input",
+ "DST_EN": "output",
+ "SRC": "input",
+ "SRC_EN": "output"
+ },
+ "connections": {
+ "DST": [ 32 ],
+ "DST_EN": [ "1" ],
+ "SRC": [ 33 ],
+ "SRC_EN": [ "1" ]
+ }
+ },
+ "$specify$96": {
+ "hide_name": 1,
+ "type": "$specrule",
+ "parameters": {
+ "DST_PEN": "1",
+ "DST_POL": "1",
+ "DST_WIDTH": "00000000000000000000000000000001",
+ "SRC_PEN": "0",
+ "SRC_POL": "0",
+ "SRC_WIDTH": "00000000000000000000000000010000",
+ "TYPE": "$setup",
+ "T_LIMIT2_MAX": "00000000000000000000000000000000",
+ "T_LIMIT2_MIN": "00000000000000000000000000000000",
+ "T_LIMIT2_TYP": "00000000000000000000000000000000",
+ "T_LIMIT_MAX": "00000000000000000000000010100001",
+ "T_LIMIT_MIN": "00000000000000000000000010100001",
+ "T_LIMIT_TYP": "00000000000000000000000010100001"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1673.3-1673.52"
+ },
+ "port_directions": {
+ "DST": "input",
+ "DST_EN": "output",
+ "SRC": "input",
+ "SRC_EN": "output"
+ },
+ "connections": {
+ "DST": [ 32 ],
+ "DST_EN": [ 81 ],
+ "SRC": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
+ "SRC_EN": [ "1" ]
+ }
+ },
+ "$specify$97": {
+ "hide_name": 1,
+ "type": "$specrule",
+ "parameters": {
+ "DST_PEN": "1",
+ "DST_POL": "1",
+ "DST_WIDTH": "00000000000000000000000000000001",
+ "SRC_PEN": "0",
+ "SRC_POL": "0",
+ "SRC_WIDTH": "00000000000000000000000000000001",
+ "TYPE": "$setup",
+ "T_LIMIT2_MAX": "00000000000000000000000000000000",
+ "T_LIMIT2_MIN": "00000000000000000000000000000000",
+ "T_LIMIT2_TYP": "00000000000000000000000000000000",
+ "T_LIMIT_MAX": "00000000000000000000000010000101",
+ "T_LIMIT_MIN": "00000000000000000000000010000101",
+ "T_LIMIT_TYP": "00000000000000000000000010000101"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1675.3-1675.33"
+ },
+ "port_directions": {
+ "DST": "input",
+ "DST_EN": "output",
+ "SRC": "input",
+ "SRC_EN": "output"
+ },
+ "connections": {
+ "DST": [ 32 ],
+ "DST_EN": [ "1" ],
+ "SRC": [ 34 ],
+ "SRC_EN": [ "1" ]
+ }
+ },
+ "$specify$98": {
+ "hide_name": 1,
+ "type": "$specify3",
+ "parameters": {
+ "DAT_DST_PEN": "0",
+ "DAT_DST_POL": "0",
+ "DST_WIDTH": "00000000000000000000000000010000",
+ "EDGE_EN": "1",
+ "EDGE_POL": "1",
+ "FULL": "1",
+ "SRC_DST_PEN": "0",
+ "SRC_DST_POL": "0",
+ "SRC_WIDTH": "00000000000000000000000000000001",
+ "T_FALL_MAX": "00000000000000000000100001100010",
+ "T_FALL_MIN": "00000000000000000000100001100010",
+ "T_FALL_TYP": "00000000000000000000100001100010",
+ "T_RISE_MAX": "00000000000000000000100001100010",
+ "T_RISE_MIN": "00000000000000000000100001100010",
+ "T_RISE_TYP": "00000000000000000000100001100010"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1677.3-1677.44"
+ },
+ "port_directions": {
+ "DAT": "input",
+ "DST": "input",
+ "EN": "input",
+ "SRC": "input"
+ },
+ "connections": {
+ "DAT": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
+ "DST": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
+ "EN": [ "1" ],
+ "SRC": [ 18 ]
+ }
+ }
+ },
+ "netnames": {
+ "$logic_and$/usr/bin/../share/yosys/ice40/cells_sim.v:1661$245_Y": {
+ "hide_name": 1,
+ "bits": [ 78 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1661.33-1661.44"
+ }
+ },
+ "$logic_and$/usr/bin/../share/yosys/ice40/cells_sim.v:1663$246_Y": {
+ "hide_name": 1,
+ "bits": [ 79 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1663.34-1663.45"
+ }
+ },
+ "$logic_and$/usr/bin/../share/yosys/ice40/cells_sim.v:1669$247_Y": {
+ "hide_name": 1,
+ "bits": [ 80 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1669.34-1669.45"
+ }
+ },
+ "$logic_and$/usr/bin/../share/yosys/ice40/cells_sim.v:1673$248_Y": {
+ "hide_name": 1,
+ "bits": [ 81 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1673.34-1673.45"
+ }
+ },
+ "MASK": {
+ "hide_name": 0,
+ "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
+ "attributes": {
+ "defaultvalue": "0000000000000000",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1497.16-1497.20"
+ }
+ },
+ "RADDR": {
+ "hide_name": 0,
+ "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1492.16-1492.21"
+ }
+ },
+ "RCLK": {
+ "hide_name": 0,
+ "bits": [ 18 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1489.16-1489.20"
+ }
+ },
+ "RCLKE": {
+ "hide_name": 0,
+ "bits": [ 19 ],
+ "attributes": {
+ "defaultvalue": "1",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1490.16-1490.21"
+ }
+ },
+ "RDATA": {
+ "hide_name": 0,
+ "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1488.16-1488.21"
+ }
+ },
+ "RE": {
+ "hide_name": 0,
+ "bits": [ 20 ],
+ "attributes": {
+ "defaultvalue": "0",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1491.16-1491.18"
+ }
+ },
+ "WADDR": {
+ "hide_name": 0,
+ "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1496.16-1496.21"
+ }
+ },
+ "WCLK": {
+ "hide_name": 0,
+ "bits": [ 32 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1493.16-1493.20"
+ }
+ },
+ "WCLKE": {
+ "hide_name": 0,
+ "bits": [ 33 ],
+ "attributes": {
+ "defaultvalue": "1",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1494.16-1494.21"
+ }
+ },
+ "WDATA": {
+ "hide_name": 0,
+ "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1498.16-1498.21"
+ }
+ },
+ "WE": {
+ "hide_name": 0,
+ "bits": [ 34 ],
+ "attributes": {
+ "defaultvalue": "0",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1495.16-1495.18"
+ }
+ }
+ }
+ },
+ "SB_RAM40_4KNR": {
+ "attributes": {
+ "blackbox": "00000000000000000000000000000001",
+ "cells_not_processed": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1726.1-1860.10"
+ },
+ "parameter_default_values": {
+ "INIT_0": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_1": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_2": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_3": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_4": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_5": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_6": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_7": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_8": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_9": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_FILE": " ",
+ "READ_MODE": "00000000000000000000000000000000",
+ "WRITE_MODE": "00000000000000000000000000000000"
+ },
+ "ports": {
+ "RDATA": {
+ "direction": "output",
+ "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
+ },
+ "RCLKN": {
+ "direction": "input",
+ "bits": [ 18 ]
+ },
+ "RCLKE": {
+ "direction": "input",
+ "bits": [ 19 ]
+ },
+ "RE": {
+ "direction": "input",
+ "bits": [ 20 ]
+ },
+ "RADDR": {
+ "direction": "input",
+ "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
+ },
+ "WCLK": {
+ "direction": "input",
+ "bits": [ 32 ]
+ },
+ "WCLKE": {
+ "direction": "input",
+ "bits": [ 33 ]
+ },
+ "WE": {
+ "direction": "input",
+ "bits": [ 34 ]
+ },
+ "WADDR": {
+ "direction": "input",
+ "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
+ },
+ "MASK": {
+ "direction": "input",
+ "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
+ },
+ "WDATA": {
+ "direction": "input",
+ "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
+ }
+ },
+ "cells": {
+ "$logic_and$/usr/bin/../share/yosys/ice40/cells_sim.v:1797$249": {
+ "hide_name": 1,
+ "type": "$logic_and",
+ "parameters": {
+ "A_SIGNED": "00000000000000000000000000000000",
+ "A_WIDTH": "00000000000000000000000000000001",
+ "B_SIGNED": "00000000000000000000000000000000",
+ "B_WIDTH": "00000000000000000000000000000001",
+ "Y_WIDTH": "00000000000000000000000000000001"
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1797.33-1797.44"
+ },
+ "port_directions": {
+ "A": "input",
+ "B": "input",
+ "Y": "output"
+ },
+ "connections": {
+ "A": [ 34 ],
+ "B": [ 33 ],
+ "Y": [ 78 ]
+ }
+ },
+ "$logic_and$/usr/bin/../share/yosys/ice40/cells_sim.v:1799$250": {
+ "hide_name": 1,
+ "type": "$logic_and",
+ "parameters": {
+ "A_SIGNED": "00000000000000000000000000000000",
+ "A_WIDTH": "00000000000000000000000000000001",
+ "B_SIGNED": "00000000000000000000000000000000",
+ "B_WIDTH": "00000000000000000000000000000001",
+ "Y_WIDTH": "00000000000000000000000000000001"
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1799.35-1799.46"
+ },
+ "port_directions": {
+ "A": "input",
+ "B": "input",
+ "Y": "output"
+ },
+ "connections": {
+ "A": [ 20 ],
+ "B": [ 19 ],
+ "Y": [ 79 ]
+ }
+ },
+ "$logic_and$/usr/bin/../share/yosys/ice40/cells_sim.v:1805$251": {
+ "hide_name": 1,
+ "type": "$logic_and",
+ "parameters": {
+ "A_SIGNED": "00000000000000000000000000000000",
+ "A_WIDTH": "00000000000000000000000000000001",
+ "B_SIGNED": "00000000000000000000000000000000",
+ "B_WIDTH": "00000000000000000000000000000001",
+ "Y_WIDTH": "00000000000000000000000000000001"
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1805.34-1805.45"
+ },
+ "port_directions": {
+ "A": "input",
+ "B": "input",
+ "Y": "output"
+ },
+ "connections": {
+ "A": [ 34 ],
+ "B": [ 33 ],
+ "Y": [ 80 ]
+ }
+ },
+ "$logic_and$/usr/bin/../share/yosys/ice40/cells_sim.v:1809$252": {
+ "hide_name": 1,
+ "type": "$logic_and",
+ "parameters": {
+ "A_SIGNED": "00000000000000000000000000000000",
+ "A_WIDTH": "00000000000000000000000000000001",
+ "B_SIGNED": "00000000000000000000000000000000",
+ "B_WIDTH": "00000000000000000000000000000001",
+ "Y_WIDTH": "00000000000000000000000000000001"
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1809.34-1809.45"
+ },
+ "port_directions": {
+ "A": "input",
+ "B": "input",
+ "Y": "output"
+ },
+ "connections": {
+ "A": [ 34 ],
+ "B": [ 33 ],
+ "Y": [ 81 ]
+ }
+ },
+ "$specify$100": {
+ "hide_name": 1,
+ "type": "$specrule",
+ "parameters": {
+ "DST_PEN": "1",
+ "DST_POL": "1",
+ "DST_WIDTH": "00000000000000000000000000000001",
+ "SRC_PEN": "0",
+ "SRC_POL": "0",
+ "SRC_WIDTH": "00000000000000000000000000001011",
+ "TYPE": "$setup",
+ "T_LIMIT2_MAX": "00000000000000000000000000000000",
+ "T_LIMIT2_MIN": "00000000000000000000000000000000",
+ "T_LIMIT2_TYP": "00000000000000000000000000000000",
+ "T_LIMIT_MAX": "00000000000000000000000011001011",
+ "T_LIMIT_MIN": "00000000000000000000000011001011",
+ "T_LIMIT_TYP": "00000000000000000000000011001011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1799.3-1799.53"
+ },
+ "port_directions": {
+ "DST": "input",
+ "DST_EN": "output",
+ "SRC": "input",
+ "SRC_EN": "output"
+ },
+ "connections": {
+ "DST": [ 18 ],
+ "DST_EN": [ 79 ],
+ "SRC": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
+ "SRC_EN": [ "1" ]
+ }
+ },
+ "$specify$101": {
+ "hide_name": 1,
+ "type": "$specrule",
+ "parameters": {
+ "DST_PEN": "1",
+ "DST_POL": "1",
+ "DST_WIDTH": "00000000000000000000000000000001",
+ "SRC_PEN": "0",
+ "SRC_POL": "0",
+ "SRC_WIDTH": "00000000000000000000000000000001",
+ "TYPE": "$setup",
+ "T_LIMIT2_MAX": "00000000000000000000000000000000",
+ "T_LIMIT2_MIN": "00000000000000000000000000000000",
+ "T_LIMIT2_TYP": "00000000000000000000000000000000",
+ "T_LIMIT_MAX": "00000000000000000000000100001011",
+ "T_LIMIT_MIN": "00000000000000000000000100001011",
+ "T_LIMIT_TYP": "00000000000000000000000100001011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1801.3-1801.37"
+ },
+ "port_directions": {
+ "DST": "input",
+ "DST_EN": "output",
+ "SRC": "input",
+ "SRC_EN": "output"
+ },
+ "connections": {
+ "DST": [ 18 ],
+ "DST_EN": [ "1" ],
+ "SRC": [ 19 ],
+ "SRC_EN": [ "1" ]
+ }
+ },
+ "$specify$102": {
+ "hide_name": 1,
+ "type": "$specrule",
+ "parameters": {
+ "DST_PEN": "1",
+ "DST_POL": "1",
+ "DST_WIDTH": "00000000000000000000000000000001",
+ "SRC_PEN": "0",
+ "SRC_POL": "0",
+ "SRC_WIDTH": "00000000000000000000000000000001",
+ "TYPE": "$setup",
+ "T_LIMIT2_MAX": "00000000000000000000000000000000",
+ "T_LIMIT2_MIN": "00000000000000000000000000000000",
+ "T_LIMIT2_TYP": "00000000000000000000000000000000",
+ "T_LIMIT_MAX": "00000000000000000000000001100010",
+ "T_LIMIT_MIN": "00000000000000000000000001100010",
+ "T_LIMIT_TYP": "00000000000000000000000001100010"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1803.3-1803.33"
+ },
+ "port_directions": {
+ "DST": "input",
+ "DST_EN": "output",
+ "SRC": "input",
+ "SRC_EN": "output"
+ },
+ "connections": {
+ "DST": [ 18 ],
+ "DST_EN": [ "1" ],
+ "SRC": [ 20 ],
+ "SRC_EN": [ "1" ]
+ }
+ },
+ "$specify$103": {
+ "hide_name": 1,
+ "type": "$specrule",
+ "parameters": {
+ "DST_PEN": "1",
+ "DST_POL": "1",
+ "DST_WIDTH": "00000000000000000000000000000001",
+ "SRC_PEN": "0",
+ "SRC_POL": "0",
+ "SRC_WIDTH": "00000000000000000000000000001011",
+ "TYPE": "$setup",
+ "T_LIMIT2_MAX": "00000000000000000000000000000000",
+ "T_LIMIT2_MIN": "00000000000000000000000000000000",
+ "T_LIMIT2_TYP": "00000000000000000000000000000000",
+ "T_LIMIT_MAX": "00000000000000000000000011100000",
+ "T_LIMIT_MIN": "00000000000000000000000011100000",
+ "T_LIMIT_TYP": "00000000000000000000000011100000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1805.3-1805.52"
+ },
+ "port_directions": {
+ "DST": "input",
+ "DST_EN": "output",
+ "SRC": "input",
+ "SRC_EN": "output"
+ },
+ "connections": {
+ "DST": [ 32 ],
+ "DST_EN": [ 80 ],
+ "SRC": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
+ "SRC_EN": [ "1" ]
+ }
+ },
+ "$specify$104": {
+ "hide_name": 1,
+ "type": "$specrule",
+ "parameters": {
+ "DST_PEN": "1",
+ "DST_POL": "1",
+ "DST_WIDTH": "00000000000000000000000000000001",
+ "SRC_PEN": "0",
+ "SRC_POL": "0",
+ "SRC_WIDTH": "00000000000000000000000000000001",
+ "TYPE": "$setup",
+ "T_LIMIT2_MAX": "00000000000000000000000000000000",
+ "T_LIMIT2_MIN": "00000000000000000000000000000000",
+ "T_LIMIT2_TYP": "00000000000000000000000000000000",
+ "T_LIMIT_MAX": "00000000000000000000000100001011",
+ "T_LIMIT_MIN": "00000000000000000000000100001011",
+ "T_LIMIT_TYP": "00000000000000000000000100001011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1807.3-1807.36"
+ },
+ "port_directions": {
+ "DST": "input",
+ "DST_EN": "output",
+ "SRC": "input",
+ "SRC_EN": "output"
+ },
+ "connections": {
+ "DST": [ 32 ],
+ "DST_EN": [ "1" ],
+ "SRC": [ 33 ],
+ "SRC_EN": [ "1" ]
+ }
+ },
+ "$specify$105": {
+ "hide_name": 1,
+ "type": "$specrule",
+ "parameters": {
+ "DST_PEN": "1",
+ "DST_POL": "1",
+ "DST_WIDTH": "00000000000000000000000000000001",
+ "SRC_PEN": "0",
+ "SRC_POL": "0",
+ "SRC_WIDTH": "00000000000000000000000000010000",
+ "TYPE": "$setup",
+ "T_LIMIT2_MAX": "00000000000000000000000000000000",
+ "T_LIMIT2_MIN": "00000000000000000000000000000000",
+ "T_LIMIT2_TYP": "00000000000000000000000000000000",
+ "T_LIMIT_MAX": "00000000000000000000000010100001",
+ "T_LIMIT_MIN": "00000000000000000000000010100001",
+ "T_LIMIT_TYP": "00000000000000000000000010100001"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1809.3-1809.52"
+ },
+ "port_directions": {
+ "DST": "input",
+ "DST_EN": "output",
+ "SRC": "input",
+ "SRC_EN": "output"
+ },
+ "connections": {
+ "DST": [ 32 ],
+ "DST_EN": [ 81 ],
+ "SRC": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
+ "SRC_EN": [ "1" ]
+ }
+ },
+ "$specify$106": {
+ "hide_name": 1,
+ "type": "$specrule",
+ "parameters": {
+ "DST_PEN": "1",
+ "DST_POL": "1",
+ "DST_WIDTH": "00000000000000000000000000000001",
+ "SRC_PEN": "0",
+ "SRC_POL": "0",
+ "SRC_WIDTH": "00000000000000000000000000000001",
+ "TYPE": "$setup",
+ "T_LIMIT2_MAX": "00000000000000000000000000000000",
+ "T_LIMIT2_MIN": "00000000000000000000000000000000",
+ "T_LIMIT2_TYP": "00000000000000000000000000000000",
+ "T_LIMIT_MAX": "00000000000000000000000010000101",
+ "T_LIMIT_MIN": "00000000000000000000000010000101",
+ "T_LIMIT_TYP": "00000000000000000000000010000101"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1811.3-1811.33"
+ },
+ "port_directions": {
+ "DST": "input",
+ "DST_EN": "output",
+ "SRC": "input",
+ "SRC_EN": "output"
+ },
+ "connections": {
+ "DST": [ 32 ],
+ "DST_EN": [ "1" ],
+ "SRC": [ 34 ],
+ "SRC_EN": [ "1" ]
+ }
+ },
+ "$specify$107": {
+ "hide_name": 1,
+ "type": "$specify3",
+ "parameters": {
+ "DAT_DST_PEN": "0",
+ "DAT_DST_POL": "0",
+ "DST_WIDTH": "00000000000000000000000000010000",
+ "EDGE_EN": "1",
+ "EDGE_POL": "1",
+ "FULL": "1",
+ "SRC_DST_PEN": "0",
+ "SRC_DST_POL": "0",
+ "SRC_WIDTH": "00000000000000000000000000000001",
+ "T_FALL_MAX": "00000000000000000000100001100010",
+ "T_FALL_MIN": "00000000000000000000100001100010",
+ "T_FALL_TYP": "00000000000000000000100001100010",
+ "T_RISE_MAX": "00000000000000000000100001100010",
+ "T_RISE_MIN": "00000000000000000000100001100010",
+ "T_RISE_TYP": "00000000000000000000100001100010"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1813.3-1813.45"
+ },
+ "port_directions": {
+ "DAT": "input",
+ "DST": "input",
+ "EN": "input",
+ "SRC": "input"
+ },
+ "connections": {
+ "DAT": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
+ "DST": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
+ "EN": [ "1" ],
+ "SRC": [ 18 ]
+ }
+ },
+ "$specify$99": {
+ "hide_name": 1,
+ "type": "$specrule",
+ "parameters": {
+ "DST_PEN": "1",
+ "DST_POL": "1",
+ "DST_WIDTH": "00000000000000000000000000000001",
+ "SRC_PEN": "0",
+ "SRC_POL": "0",
+ "SRC_WIDTH": "00000000000000000000000000010000",
+ "TYPE": "$setup",
+ "T_LIMIT2_MAX": "00000000000000000000000000000000",
+ "T_LIMIT2_MIN": "00000000000000000000000000000000",
+ "T_LIMIT2_TYP": "00000000000000000000000000000000",
+ "T_LIMIT_MAX": "00000000000000000000000100010010",
+ "T_LIMIT_MIN": "00000000000000000000000100010010",
+ "T_LIMIT_TYP": "00000000000000000000000100010010"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1797.3-1797.51"
+ },
+ "port_directions": {
+ "DST": "input",
+ "DST_EN": "output",
+ "SRC": "input",
+ "SRC_EN": "output"
+ },
+ "connections": {
+ "DST": [ 32 ],
+ "DST_EN": [ 78 ],
+ "SRC": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
+ "SRC_EN": [ "1" ]
+ }
+ }
+ },
+ "netnames": {
+ "$logic_and$/usr/bin/../share/yosys/ice40/cells_sim.v:1797$249_Y": {
+ "hide_name": 1,
+ "bits": [ 78 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1797.33-1797.44"
+ }
+ },
+ "$logic_and$/usr/bin/../share/yosys/ice40/cells_sim.v:1799$250_Y": {
+ "hide_name": 1,
+ "bits": [ 79 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1799.35-1799.46"
+ }
+ },
+ "$logic_and$/usr/bin/../share/yosys/ice40/cells_sim.v:1805$251_Y": {
+ "hide_name": 1,
+ "bits": [ 80 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1805.34-1805.45"
+ }
+ },
+ "$logic_and$/usr/bin/../share/yosys/ice40/cells_sim.v:1809$252_Y": {
+ "hide_name": 1,
+ "bits": [ 81 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1809.34-1809.45"
+ }
+ },
+ "MASK": {
+ "hide_name": 0,
+ "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
+ "attributes": {
+ "defaultvalue": "0000000000000000",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1736.16-1736.20"
+ }
+ },
+ "RADDR": {
+ "hide_name": 0,
+ "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1731.16-1731.21"
+ }
+ },
+ "RCLKE": {
+ "hide_name": 0,
+ "bits": [ 19 ],
+ "attributes": {
+ "defaultvalue": "1",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1729.16-1729.21"
+ }
+ },
+ "RCLKN": {
+ "hide_name": 0,
+ "bits": [ 18 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1728.16-1728.21"
+ }
+ },
+ "RDATA": {
+ "hide_name": 0,
+ "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1727.16-1727.21"
+ }
+ },
+ "RE": {
+ "hide_name": 0,
+ "bits": [ 20 ],
+ "attributes": {
+ "defaultvalue": "0",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1730.16-1730.18"
+ }
+ },
+ "WADDR": {
+ "hide_name": 0,
+ "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1735.16-1735.21"
+ }
+ },
+ "WCLK": {
+ "hide_name": 0,
+ "bits": [ 32 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1732.16-1732.20"
+ }
+ },
+ "WCLKE": {
+ "hide_name": 0,
+ "bits": [ 33 ],
+ "attributes": {
+ "defaultvalue": "1",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1733.16-1733.21"
+ }
+ },
+ "WDATA": {
+ "hide_name": 0,
+ "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1737.16-1737.21"
+ }
+ },
+ "WE": {
+ "hide_name": 0,
+ "bits": [ 34 ],
+ "attributes": {
+ "defaultvalue": "0",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1734.16-1734.18"
+ }
+ }
+ }
+ },
+ "SB_RAM40_4KNRNW": {
+ "attributes": {
+ "blackbox": "00000000000000000000000000000001",
+ "cells_not_processed": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1998.1-2132.10"
+ },
+ "parameter_default_values": {
+ "INIT_0": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_1": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_2": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_3": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_4": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_5": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_6": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_7": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_8": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_9": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_FILE": " ",
+ "READ_MODE": "00000000000000000000000000000000",
+ "WRITE_MODE": "00000000000000000000000000000000"
+ },
+ "ports": {
+ "RDATA": {
+ "direction": "output",
+ "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
+ },
+ "RCLKN": {
+ "direction": "input",
+ "bits": [ 18 ]
+ },
+ "RCLKE": {
+ "direction": "input",
+ "bits": [ 19 ]
+ },
+ "RE": {
+ "direction": "input",
+ "bits": [ 20 ]
+ },
+ "RADDR": {
+ "direction": "input",
+ "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
+ },
+ "WCLKN": {
+ "direction": "input",
+ "bits": [ 32 ]
+ },
+ "WCLKE": {
+ "direction": "input",
+ "bits": [ 33 ]
+ },
+ "WE": {
+ "direction": "input",
+ "bits": [ 34 ]
+ },
+ "WADDR": {
+ "direction": "input",
+ "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
+ },
+ "MASK": {
+ "direction": "input",
+ "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
+ },
+ "WDATA": {
+ "direction": "input",
+ "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
+ }
+ },
+ "cells": {
+ "$logic_and$/usr/bin/../share/yosys/ice40/cells_sim.v:2069$257": {
+ "hide_name": 1,
+ "type": "$logic_and",
+ "parameters": {
+ "A_SIGNED": "00000000000000000000000000000000",
+ "A_WIDTH": "00000000000000000000000000000001",
+ "B_SIGNED": "00000000000000000000000000000000",
+ "B_WIDTH": "00000000000000000000000000000001",
+ "Y_WIDTH": "00000000000000000000000000000001"
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2069.34-2069.45"
+ },
+ "port_directions": {
+ "A": "input",
+ "B": "input",
+ "Y": "output"
+ },
+ "connections": {
+ "A": [ 34 ],
+ "B": [ 33 ],
+ "Y": [ 78 ]
+ }
+ },
+ "$logic_and$/usr/bin/../share/yosys/ice40/cells_sim.v:2071$258": {
+ "hide_name": 1,
+ "type": "$logic_and",
+ "parameters": {
+ "A_SIGNED": "00000000000000000000000000000000",
+ "A_WIDTH": "00000000000000000000000000000001",
+ "B_SIGNED": "00000000000000000000000000000000",
+ "B_WIDTH": "00000000000000000000000000000001",
+ "Y_WIDTH": "00000000000000000000000000000001"
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2071.35-2071.46"
+ },
+ "port_directions": {
+ "A": "input",
+ "B": "input",
+ "Y": "output"
+ },
+ "connections": {
+ "A": [ 20 ],
+ "B": [ 19 ],
+ "Y": [ 79 ]
+ }
+ },
+ "$logic_and$/usr/bin/../share/yosys/ice40/cells_sim.v:2077$259": {
+ "hide_name": 1,
+ "type": "$logic_and",
+ "parameters": {
+ "A_SIGNED": "00000000000000000000000000000000",
+ "A_WIDTH": "00000000000000000000000000000001",
+ "B_SIGNED": "00000000000000000000000000000000",
+ "B_WIDTH": "00000000000000000000000000000001",
+ "Y_WIDTH": "00000000000000000000000000000001"
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2077.35-2077.46"
+ },
+ "port_directions": {
+ "A": "input",
+ "B": "input",
+ "Y": "output"
+ },
+ "connections": {
+ "A": [ 34 ],
+ "B": [ 33 ],
+ "Y": [ 80 ]
+ }
+ },
+ "$logic_and$/usr/bin/../share/yosys/ice40/cells_sim.v:2081$260": {
+ "hide_name": 1,
+ "type": "$logic_and",
+ "parameters": {
+ "A_SIGNED": "00000000000000000000000000000000",
+ "A_WIDTH": "00000000000000000000000000000001",
+ "B_SIGNED": "00000000000000000000000000000000",
+ "B_WIDTH": "00000000000000000000000000000001",
+ "Y_WIDTH": "00000000000000000000000000000001"
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2081.35-2081.46"
+ },
+ "port_directions": {
+ "A": "input",
+ "B": "input",
+ "Y": "output"
+ },
+ "connections": {
+ "A": [ 34 ],
+ "B": [ 33 ],
+ "Y": [ 81 ]
+ }
+ },
+ "$specify$117": {
+ "hide_name": 1,
+ "type": "$specrule",
+ "parameters": {
+ "DST_PEN": "1",
+ "DST_POL": "1",
+ "DST_WIDTH": "00000000000000000000000000000001",
+ "SRC_PEN": "0",
+ "SRC_POL": "0",
+ "SRC_WIDTH": "00000000000000000000000000010000",
+ "TYPE": "$setup",
+ "T_LIMIT2_MAX": "00000000000000000000000000000000",
+ "T_LIMIT2_MIN": "00000000000000000000000000000000",
+ "T_LIMIT2_TYP": "00000000000000000000000000000000",
+ "T_LIMIT_MAX": "00000000000000000000000100010010",
+ "T_LIMIT_MIN": "00000000000000000000000100010010",
+ "T_LIMIT_TYP": "00000000000000000000000100010010"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2069.3-2069.52"
+ },
+ "port_directions": {
+ "DST": "input",
+ "DST_EN": "output",
+ "SRC": "input",
+ "SRC_EN": "output"
+ },
+ "connections": {
+ "DST": [ 32 ],
+ "DST_EN": [ 78 ],
+ "SRC": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
+ "SRC_EN": [ "1" ]
+ }
+ },
+ "$specify$118": {
+ "hide_name": 1,
+ "type": "$specrule",
+ "parameters": {
+ "DST_PEN": "1",
+ "DST_POL": "1",
+ "DST_WIDTH": "00000000000000000000000000000001",
+ "SRC_PEN": "0",
+ "SRC_POL": "0",
+ "SRC_WIDTH": "00000000000000000000000000001011",
+ "TYPE": "$setup",
+ "T_LIMIT2_MAX": "00000000000000000000000000000000",
+ "T_LIMIT2_MIN": "00000000000000000000000000000000",
+ "T_LIMIT2_TYP": "00000000000000000000000000000000",
+ "T_LIMIT_MAX": "00000000000000000000000011001011",
+ "T_LIMIT_MIN": "00000000000000000000000011001011",
+ "T_LIMIT_TYP": "00000000000000000000000011001011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2071.3-2071.53"
+ },
+ "port_directions": {
+ "DST": "input",
+ "DST_EN": "output",
+ "SRC": "input",
+ "SRC_EN": "output"
+ },
+ "connections": {
+ "DST": [ 18 ],
+ "DST_EN": [ 79 ],
+ "SRC": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
+ "SRC_EN": [ "1" ]
+ }
+ },
+ "$specify$119": {
+ "hide_name": 1,
+ "type": "$specrule",
+ "parameters": {
+ "DST_PEN": "1",
+ "DST_POL": "1",
+ "DST_WIDTH": "00000000000000000000000000000001",
+ "SRC_PEN": "0",
+ "SRC_POL": "0",
+ "SRC_WIDTH": "00000000000000000000000000000001",
+ "TYPE": "$setup",
+ "T_LIMIT2_MAX": "00000000000000000000000000000000",
+ "T_LIMIT2_MIN": "00000000000000000000000000000000",
+ "T_LIMIT2_TYP": "00000000000000000000000000000000",
+ "T_LIMIT_MAX": "00000000000000000000000100001011",
+ "T_LIMIT_MIN": "00000000000000000000000100001011",
+ "T_LIMIT_TYP": "00000000000000000000000100001011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2073.3-2073.37"
+ },
+ "port_directions": {
+ "DST": "input",
+ "DST_EN": "output",
+ "SRC": "input",
+ "SRC_EN": "output"
+ },
+ "connections": {
+ "DST": [ 18 ],
+ "DST_EN": [ "1" ],
+ "SRC": [ 19 ],
+ "SRC_EN": [ "1" ]
+ }
+ },
+ "$specify$120": {
+ "hide_name": 1,
+ "type": "$specrule",
+ "parameters": {
+ "DST_PEN": "1",
+ "DST_POL": "1",
+ "DST_WIDTH": "00000000000000000000000000000001",
+ "SRC_PEN": "0",
+ "SRC_POL": "0",
+ "SRC_WIDTH": "00000000000000000000000000000001",
+ "TYPE": "$setup",
+ "T_LIMIT2_MAX": "00000000000000000000000000000000",
+ "T_LIMIT2_MIN": "00000000000000000000000000000000",
+ "T_LIMIT2_TYP": "00000000000000000000000000000000",
+ "T_LIMIT_MAX": "00000000000000000000000001100010",
+ "T_LIMIT_MIN": "00000000000000000000000001100010",
+ "T_LIMIT_TYP": "00000000000000000000000001100010"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2075.3-2075.33"
+ },
+ "port_directions": {
+ "DST": "input",
+ "DST_EN": "output",
+ "SRC": "input",
+ "SRC_EN": "output"
+ },
+ "connections": {
+ "DST": [ 18 ],
+ "DST_EN": [ "1" ],
+ "SRC": [ 20 ],
+ "SRC_EN": [ "1" ]
+ }
+ },
+ "$specify$121": {
+ "hide_name": 1,
+ "type": "$specrule",
+ "parameters": {
+ "DST_PEN": "1",
+ "DST_POL": "1",
+ "DST_WIDTH": "00000000000000000000000000000001",
+ "SRC_PEN": "0",
+ "SRC_POL": "0",
+ "SRC_WIDTH": "00000000000000000000000000001011",
+ "TYPE": "$setup",
+ "T_LIMIT2_MAX": "00000000000000000000000000000000",
+ "T_LIMIT2_MIN": "00000000000000000000000000000000",
+ "T_LIMIT2_TYP": "00000000000000000000000000000000",
+ "T_LIMIT_MAX": "00000000000000000000000011100000",
+ "T_LIMIT_MIN": "00000000000000000000000011100000",
+ "T_LIMIT_TYP": "00000000000000000000000011100000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2077.3-2077.53"
+ },
+ "port_directions": {
+ "DST": "input",
+ "DST_EN": "output",
+ "SRC": "input",
+ "SRC_EN": "output"
+ },
+ "connections": {
+ "DST": [ 32 ],
+ "DST_EN": [ 80 ],
+ "SRC": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
+ "SRC_EN": [ "1" ]
+ }
+ },
+ "$specify$122": {
+ "hide_name": 1,
+ "type": "$specrule",
+ "parameters": {
+ "DST_PEN": "1",
+ "DST_POL": "1",
+ "DST_WIDTH": "00000000000000000000000000000001",
+ "SRC_PEN": "0",
+ "SRC_POL": "0",
+ "SRC_WIDTH": "00000000000000000000000000000001",
+ "TYPE": "$setup",
+ "T_LIMIT2_MAX": "00000000000000000000000000000000",
+ "T_LIMIT2_MIN": "00000000000000000000000000000000",
+ "T_LIMIT2_TYP": "00000000000000000000000000000000",
+ "T_LIMIT_MAX": "00000000000000000000000100001011",
+ "T_LIMIT_MIN": "00000000000000000000000100001011",
+ "T_LIMIT_TYP": "00000000000000000000000100001011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2079.3-2079.37"
+ },
+ "port_directions": {
+ "DST": "input",
+ "DST_EN": "output",
+ "SRC": "input",
+ "SRC_EN": "output"
+ },
+ "connections": {
+ "DST": [ 32 ],
+ "DST_EN": [ "1" ],
+ "SRC": [ 33 ],
+ "SRC_EN": [ "1" ]
+ }
+ },
+ "$specify$123": {
+ "hide_name": 1,
+ "type": "$specrule",
+ "parameters": {
+ "DST_PEN": "1",
+ "DST_POL": "1",
+ "DST_WIDTH": "00000000000000000000000000000001",
+ "SRC_PEN": "0",
+ "SRC_POL": "0",
+ "SRC_WIDTH": "00000000000000000000000000010000",
+ "TYPE": "$setup",
+ "T_LIMIT2_MAX": "00000000000000000000000000000000",
+ "T_LIMIT2_MIN": "00000000000000000000000000000000",
+ "T_LIMIT2_TYP": "00000000000000000000000000000000",
+ "T_LIMIT_MAX": "00000000000000000000000010100001",
+ "T_LIMIT_MIN": "00000000000000000000000010100001",
+ "T_LIMIT_TYP": "00000000000000000000000010100001"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2081.3-2081.53"
+ },
+ "port_directions": {
+ "DST": "input",
+ "DST_EN": "output",
+ "SRC": "input",
+ "SRC_EN": "output"
+ },
+ "connections": {
+ "DST": [ 32 ],
+ "DST_EN": [ 81 ],
+ "SRC": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
+ "SRC_EN": [ "1" ]
+ }
+ },
+ "$specify$124": {
+ "hide_name": 1,
+ "type": "$specrule",
+ "parameters": {
+ "DST_PEN": "1",
+ "DST_POL": "1",
+ "DST_WIDTH": "00000000000000000000000000000001",
+ "SRC_PEN": "0",
+ "SRC_POL": "0",
+ "SRC_WIDTH": "00000000000000000000000000000001",
+ "TYPE": "$setup",
+ "T_LIMIT2_MAX": "00000000000000000000000000000000",
+ "T_LIMIT2_MIN": "00000000000000000000000000000000",
+ "T_LIMIT2_TYP": "00000000000000000000000000000000",
+ "T_LIMIT_MAX": "00000000000000000000000010000101",
+ "T_LIMIT_MIN": "00000000000000000000000010000101",
+ "T_LIMIT_TYP": "00000000000000000000000010000101"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2083.3-2083.34"
+ },
+ "port_directions": {
+ "DST": "input",
+ "DST_EN": "output",
+ "SRC": "input",
+ "SRC_EN": "output"
+ },
+ "connections": {
+ "DST": [ 32 ],
+ "DST_EN": [ "1" ],
+ "SRC": [ 34 ],
+ "SRC_EN": [ "1" ]
+ }
+ },
+ "$specify$125": {
+ "hide_name": 1,
+ "type": "$specify3",
+ "parameters": {
+ "DAT_DST_PEN": "0",
+ "DAT_DST_POL": "0",
+ "DST_WIDTH": "00000000000000000000000000010000",
+ "EDGE_EN": "1",
+ "EDGE_POL": "1",
+ "FULL": "1",
+ "SRC_DST_PEN": "0",
+ "SRC_DST_POL": "0",
+ "SRC_WIDTH": "00000000000000000000000000000001",
+ "T_FALL_MAX": "00000000000000000000100001100010",
+ "T_FALL_MIN": "00000000000000000000100001100010",
+ "T_FALL_TYP": "00000000000000000000100001100010",
+ "T_RISE_MAX": "00000000000000000000100001100010",
+ "T_RISE_MIN": "00000000000000000000100001100010",
+ "T_RISE_TYP": "00000000000000000000100001100010"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2085.3-2085.45"
+ },
+ "port_directions": {
+ "DAT": "input",
+ "DST": "input",
+ "EN": "input",
+ "SRC": "input"
+ },
+ "connections": {
+ "DAT": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
+ "DST": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
+ "EN": [ "1" ],
+ "SRC": [ 18 ]
+ }
+ }
+ },
+ "netnames": {
+ "$logic_and$/usr/bin/../share/yosys/ice40/cells_sim.v:2069$257_Y": {
+ "hide_name": 1,
+ "bits": [ 78 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2069.34-2069.45"
+ }
+ },
+ "$logic_and$/usr/bin/../share/yosys/ice40/cells_sim.v:2071$258_Y": {
+ "hide_name": 1,
+ "bits": [ 79 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2071.35-2071.46"
+ }
+ },
+ "$logic_and$/usr/bin/../share/yosys/ice40/cells_sim.v:2077$259_Y": {
+ "hide_name": 1,
+ "bits": [ 80 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2077.35-2077.46"
+ }
+ },
+ "$logic_and$/usr/bin/../share/yosys/ice40/cells_sim.v:2081$260_Y": {
+ "hide_name": 1,
+ "bits": [ 81 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2081.35-2081.46"
+ }
+ },
+ "MASK": {
+ "hide_name": 0,
+ "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
+ "attributes": {
+ "defaultvalue": "0000000000000000",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2008.16-2008.20"
+ }
+ },
+ "RADDR": {
+ "hide_name": 0,
+ "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2003.16-2003.21"
+ }
+ },
+ "RCLKE": {
+ "hide_name": 0,
+ "bits": [ 19 ],
+ "attributes": {
+ "defaultvalue": "1",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2001.16-2001.21"
+ }
+ },
+ "RCLKN": {
+ "hide_name": 0,
+ "bits": [ 18 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2000.16-2000.21"
+ }
+ },
+ "RDATA": {
+ "hide_name": 0,
+ "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1999.16-1999.21"
+ }
+ },
+ "RE": {
+ "hide_name": 0,
+ "bits": [ 20 ],
+ "attributes": {
+ "defaultvalue": "0",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2002.16-2002.18"
+ }
+ },
+ "WADDR": {
+ "hide_name": 0,
+ "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2007.16-2007.21"
+ }
+ },
+ "WCLKE": {
+ "hide_name": 0,
+ "bits": [ 33 ],
+ "attributes": {
+ "defaultvalue": "1",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2005.16-2005.21"
+ }
+ },
+ "WCLKN": {
+ "hide_name": 0,
+ "bits": [ 32 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2004.16-2004.21"
+ }
+ },
+ "WDATA": {
+ "hide_name": 0,
+ "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2009.16-2009.21"
+ }
+ },
+ "WE": {
+ "hide_name": 0,
+ "bits": [ 34 ],
+ "attributes": {
+ "defaultvalue": "0",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2006.16-2006.18"
+ }
+ }
+ }
+ },
+ "SB_RAM40_4KNW": {
+ "attributes": {
+ "blackbox": "00000000000000000000000000000001",
+ "cells_not_processed": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1862.1-1996.10"
+ },
+ "parameter_default_values": {
+ "INIT_0": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_1": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_2": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_3": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_4": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_5": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_6": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_7": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_8": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_9": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
+ "INIT_FILE": " ",
+ "READ_MODE": "00000000000000000000000000000000",
+ "WRITE_MODE": "00000000000000000000000000000000"
+ },
+ "ports": {
+ "RDATA": {
+ "direction": "output",
+ "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
+ },
+ "RCLK": {
+ "direction": "input",
+ "bits": [ 18 ]
+ },
+ "RCLKE": {
+ "direction": "input",
+ "bits": [ 19 ]
+ },
+ "RE": {
+ "direction": "input",
+ "bits": [ 20 ]
+ },
+ "RADDR": {
+ "direction": "input",
+ "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
+ },
+ "WCLKN": {
+ "direction": "input",
+ "bits": [ 32 ]
+ },
+ "WCLKE": {
+ "direction": "input",
+ "bits": [ 33 ]
+ },
+ "WE": {
+ "direction": "input",
+ "bits": [ 34 ]
+ },
+ "WADDR": {
+ "direction": "input",
+ "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
+ },
+ "MASK": {
+ "direction": "input",
+ "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
+ },
+ "WDATA": {
+ "direction": "input",
+ "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
+ }
+ },
+ "cells": {
+ "$logic_and$/usr/bin/../share/yosys/ice40/cells_sim.v:1933$253": {
+ "hide_name": 1,
+ "type": "$logic_and",
+ "parameters": {
+ "A_SIGNED": "00000000000000000000000000000000",
+ "A_WIDTH": "00000000000000000000000000000001",
+ "B_SIGNED": "00000000000000000000000000000000",
+ "B_WIDTH": "00000000000000000000000000000001",
+ "Y_WIDTH": "00000000000000000000000000000001"
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1933.34-1933.45"
+ },
+ "port_directions": {
+ "A": "input",
+ "B": "input",
+ "Y": "output"
+ },
+ "connections": {
+ "A": [ 34 ],
+ "B": [ 33 ],
+ "Y": [ 78 ]
+ }
+ },
+ "$logic_and$/usr/bin/../share/yosys/ice40/cells_sim.v:1935$254": {
+ "hide_name": 1,
+ "type": "$logic_and",
+ "parameters": {
+ "A_SIGNED": "00000000000000000000000000000000",
+ "A_WIDTH": "00000000000000000000000000000001",
+ "B_SIGNED": "00000000000000000000000000000000",
+ "B_WIDTH": "00000000000000000000000000000001",
+ "Y_WIDTH": "00000000000000000000000000000001"
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1935.34-1935.45"
+ },
+ "port_directions": {
+ "A": "input",
+ "B": "input",
+ "Y": "output"
+ },
+ "connections": {
+ "A": [ 20 ],
+ "B": [ 19 ],
+ "Y": [ 79 ]
+ }
+ },
+ "$logic_and$/usr/bin/../share/yosys/ice40/cells_sim.v:1941$255": {
+ "hide_name": 1,
+ "type": "$logic_and",
+ "parameters": {
+ "A_SIGNED": "00000000000000000000000000000000",
+ "A_WIDTH": "00000000000000000000000000000001",
+ "B_SIGNED": "00000000000000000000000000000000",
+ "B_WIDTH": "00000000000000000000000000000001",
+ "Y_WIDTH": "00000000000000000000000000000001"
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1941.35-1941.46"
+ },
+ "port_directions": {
+ "A": "input",
+ "B": "input",
+ "Y": "output"
+ },
+ "connections": {
+ "A": [ 34 ],
+ "B": [ 33 ],
+ "Y": [ 80 ]
+ }
+ },
+ "$logic_and$/usr/bin/../share/yosys/ice40/cells_sim.v:1945$256": {
+ "hide_name": 1,
+ "type": "$logic_and",
+ "parameters": {
+ "A_SIGNED": "00000000000000000000000000000000",
+ "A_WIDTH": "00000000000000000000000000000001",
+ "B_SIGNED": "00000000000000000000000000000000",
+ "B_WIDTH": "00000000000000000000000000000001",
+ "Y_WIDTH": "00000000000000000000000000000001"
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1945.35-1945.46"
+ },
+ "port_directions": {
+ "A": "input",
+ "B": "input",
+ "Y": "output"
+ },
+ "connections": {
+ "A": [ 34 ],
+ "B": [ 33 ],
+ "Y": [ 81 ]
+ }
+ },
+ "$specify$108": {
+ "hide_name": 1,
+ "type": "$specrule",
+ "parameters": {
+ "DST_PEN": "1",
+ "DST_POL": "1",
+ "DST_WIDTH": "00000000000000000000000000000001",
+ "SRC_PEN": "0",
+ "SRC_POL": "0",
+ "SRC_WIDTH": "00000000000000000000000000010000",
+ "TYPE": "$setup",
+ "T_LIMIT2_MAX": "00000000000000000000000000000000",
+ "T_LIMIT2_MIN": "00000000000000000000000000000000",
+ "T_LIMIT2_TYP": "00000000000000000000000000000000",
+ "T_LIMIT_MAX": "00000000000000000000000100010010",
+ "T_LIMIT_MIN": "00000000000000000000000100010010",
+ "T_LIMIT_TYP": "00000000000000000000000100010010"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1933.3-1933.52"
+ },
+ "port_directions": {
+ "DST": "input",
+ "DST_EN": "output",
+ "SRC": "input",
+ "SRC_EN": "output"
+ },
+ "connections": {
+ "DST": [ 32 ],
+ "DST_EN": [ 78 ],
+ "SRC": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
+ "SRC_EN": [ "1" ]
+ }
+ },
+ "$specify$109": {
+ "hide_name": 1,
+ "type": "$specrule",
+ "parameters": {
+ "DST_PEN": "1",
+ "DST_POL": "1",
+ "DST_WIDTH": "00000000000000000000000000000001",
+ "SRC_PEN": "0",
+ "SRC_POL": "0",
+ "SRC_WIDTH": "00000000000000000000000000001011",
+ "TYPE": "$setup",
+ "T_LIMIT2_MAX": "00000000000000000000000000000000",
+ "T_LIMIT2_MIN": "00000000000000000000000000000000",
+ "T_LIMIT2_TYP": "00000000000000000000000000000000",
+ "T_LIMIT_MAX": "00000000000000000000000011001011",
+ "T_LIMIT_MIN": "00000000000000000000000011001011",
+ "T_LIMIT_TYP": "00000000000000000000000011001011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1935.3-1935.52"
+ },
+ "port_directions": {
+ "DST": "input",
+ "DST_EN": "output",
+ "SRC": "input",
+ "SRC_EN": "output"
+ },
+ "connections": {
+ "DST": [ 18 ],
+ "DST_EN": [ 79 ],
+ "SRC": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
+ "SRC_EN": [ "1" ]
+ }
+ },
+ "$specify$110": {
+ "hide_name": 1,
+ "type": "$specrule",
+ "parameters": {
+ "DST_PEN": "1",
+ "DST_POL": "1",
+ "DST_WIDTH": "00000000000000000000000000000001",
+ "SRC_PEN": "0",
+ "SRC_POL": "0",
+ "SRC_WIDTH": "00000000000000000000000000000001",
+ "TYPE": "$setup",
+ "T_LIMIT2_MAX": "00000000000000000000000000000000",
+ "T_LIMIT2_MIN": "00000000000000000000000000000000",
+ "T_LIMIT2_TYP": "00000000000000000000000000000000",
+ "T_LIMIT_MAX": "00000000000000000000000100001011",
+ "T_LIMIT_MIN": "00000000000000000000000100001011",
+ "T_LIMIT_TYP": "00000000000000000000000100001011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1937.3-1937.36"
+ },
+ "port_directions": {
+ "DST": "input",
+ "DST_EN": "output",
+ "SRC": "input",
+ "SRC_EN": "output"
+ },
+ "connections": {
+ "DST": [ 18 ],
+ "DST_EN": [ "1" ],
+ "SRC": [ 19 ],
+ "SRC_EN": [ "1" ]
+ }
+ },
+ "$specify$111": {
+ "hide_name": 1,
+ "type": "$specrule",
+ "parameters": {
+ "DST_PEN": "1",
+ "DST_POL": "1",
+ "DST_WIDTH": "00000000000000000000000000000001",
+ "SRC_PEN": "0",
+ "SRC_POL": "0",
+ "SRC_WIDTH": "00000000000000000000000000000001",
+ "TYPE": "$setup",
+ "T_LIMIT2_MAX": "00000000000000000000000000000000",
+ "T_LIMIT2_MIN": "00000000000000000000000000000000",
+ "T_LIMIT2_TYP": "00000000000000000000000000000000",
+ "T_LIMIT_MAX": "00000000000000000000000001100010",
+ "T_LIMIT_MIN": "00000000000000000000000001100010",
+ "T_LIMIT_TYP": "00000000000000000000000001100010"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1939.3-1939.32"
+ },
+ "port_directions": {
+ "DST": "input",
+ "DST_EN": "output",
+ "SRC": "input",
+ "SRC_EN": "output"
+ },
+ "connections": {
+ "DST": [ 18 ],
+ "DST_EN": [ "1" ],
+ "SRC": [ 20 ],
+ "SRC_EN": [ "1" ]
+ }
+ },
+ "$specify$112": {
+ "hide_name": 1,
+ "type": "$specrule",
+ "parameters": {
+ "DST_PEN": "1",
+ "DST_POL": "1",
+ "DST_WIDTH": "00000000000000000000000000000001",
+ "SRC_PEN": "0",
+ "SRC_POL": "0",
+ "SRC_WIDTH": "00000000000000000000000000001011",
+ "TYPE": "$setup",
+ "T_LIMIT2_MAX": "00000000000000000000000000000000",
+ "T_LIMIT2_MIN": "00000000000000000000000000000000",
+ "T_LIMIT2_TYP": "00000000000000000000000000000000",
+ "T_LIMIT_MAX": "00000000000000000000000011100000",
+ "T_LIMIT_MIN": "00000000000000000000000011100000",
+ "T_LIMIT_TYP": "00000000000000000000000011100000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1941.3-1941.53"
+ },
+ "port_directions": {
+ "DST": "input",
+ "DST_EN": "output",
+ "SRC": "input",
+ "SRC_EN": "output"
+ },
+ "connections": {
+ "DST": [ 32 ],
+ "DST_EN": [ 80 ],
+ "SRC": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
+ "SRC_EN": [ "1" ]
+ }
+ },
+ "$specify$113": {
+ "hide_name": 1,
+ "type": "$specrule",
+ "parameters": {
+ "DST_PEN": "1",
+ "DST_POL": "1",
+ "DST_WIDTH": "00000000000000000000000000000001",
+ "SRC_PEN": "0",
+ "SRC_POL": "0",
+ "SRC_WIDTH": "00000000000000000000000000000001",
+ "TYPE": "$setup",
+ "T_LIMIT2_MAX": "00000000000000000000000000000000",
+ "T_LIMIT2_MIN": "00000000000000000000000000000000",
+ "T_LIMIT2_TYP": "00000000000000000000000000000000",
+ "T_LIMIT_MAX": "00000000000000000000000100001011",
+ "T_LIMIT_MIN": "00000000000000000000000100001011",
+ "T_LIMIT_TYP": "00000000000000000000000100001011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1943.3-1943.37"
+ },
+ "port_directions": {
+ "DST": "input",
+ "DST_EN": "output",
+ "SRC": "input",
+ "SRC_EN": "output"
+ },
+ "connections": {
+ "DST": [ 32 ],
+ "DST_EN": [ "1" ],
+ "SRC": [ 33 ],
+ "SRC_EN": [ "1" ]
+ }
+ },
+ "$specify$114": {
+ "hide_name": 1,
+ "type": "$specrule",
+ "parameters": {
+ "DST_PEN": "1",
+ "DST_POL": "1",
+ "DST_WIDTH": "00000000000000000000000000000001",
+ "SRC_PEN": "0",
+ "SRC_POL": "0",
+ "SRC_WIDTH": "00000000000000000000000000010000",
+ "TYPE": "$setup",
+ "T_LIMIT2_MAX": "00000000000000000000000000000000",
+ "T_LIMIT2_MIN": "00000000000000000000000000000000",
+ "T_LIMIT2_TYP": "00000000000000000000000000000000",
+ "T_LIMIT_MAX": "00000000000000000000000010100001",
+ "T_LIMIT_MIN": "00000000000000000000000010100001",
+ "T_LIMIT_TYP": "00000000000000000000000010100001"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1945.3-1945.53"
+ },
+ "port_directions": {
+ "DST": "input",
+ "DST_EN": "output",
+ "SRC": "input",
+ "SRC_EN": "output"
+ },
+ "connections": {
+ "DST": [ 32 ],
+ "DST_EN": [ 81 ],
+ "SRC": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
+ "SRC_EN": [ "1" ]
+ }
+ },
+ "$specify$115": {
+ "hide_name": 1,
+ "type": "$specrule",
+ "parameters": {
+ "DST_PEN": "1",
+ "DST_POL": "1",
+ "DST_WIDTH": "00000000000000000000000000000001",
+ "SRC_PEN": "0",
+ "SRC_POL": "0",
+ "SRC_WIDTH": "00000000000000000000000000000001",
+ "TYPE": "$setup",
+ "T_LIMIT2_MAX": "00000000000000000000000000000000",
+ "T_LIMIT2_MIN": "00000000000000000000000000000000",
+ "T_LIMIT2_TYP": "00000000000000000000000000000000",
+ "T_LIMIT_MAX": "00000000000000000000000010000101",
+ "T_LIMIT_MIN": "00000000000000000000000010000101",
+ "T_LIMIT_TYP": "00000000000000000000000010000101"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1947.3-1947.34"
+ },
+ "port_directions": {
+ "DST": "input",
+ "DST_EN": "output",
+ "SRC": "input",
+ "SRC_EN": "output"
+ },
+ "connections": {
+ "DST": [ 32 ],
+ "DST_EN": [ "1" ],
+ "SRC": [ 34 ],
+ "SRC_EN": [ "1" ]
+ }
+ },
+ "$specify$116": {
+ "hide_name": 1,
+ "type": "$specify3",
+ "parameters": {
+ "DAT_DST_PEN": "0",
+ "DAT_DST_POL": "0",
+ "DST_WIDTH": "00000000000000000000000000010000",
+ "EDGE_EN": "1",
+ "EDGE_POL": "1",
+ "FULL": "1",
+ "SRC_DST_PEN": "0",
+ "SRC_DST_POL": "0",
+ "SRC_WIDTH": "00000000000000000000000000000001",
+ "T_FALL_MAX": "00000000000000000000100001100010",
+ "T_FALL_MIN": "00000000000000000000100001100010",
+ "T_FALL_TYP": "00000000000000000000100001100010",
+ "T_RISE_MAX": "00000000000000000000100001100010",
+ "T_RISE_MIN": "00000000000000000000100001100010",
+ "T_RISE_TYP": "00000000000000000000100001100010"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1949.3-1949.44"
+ },
+ "port_directions": {
+ "DAT": "input",
+ "DST": "input",
+ "EN": "input",
+ "SRC": "input"
+ },
+ "connections": {
+ "DAT": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
+ "DST": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
+ "EN": [ "1" ],
+ "SRC": [ 18 ]
+ }
+ }
+ },
+ "netnames": {
+ "$logic_and$/usr/bin/../share/yosys/ice40/cells_sim.v:1933$253_Y": {
+ "hide_name": 1,
+ "bits": [ 78 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1933.34-1933.45"
+ }
+ },
+ "$logic_and$/usr/bin/../share/yosys/ice40/cells_sim.v:1935$254_Y": {
+ "hide_name": 1,
+ "bits": [ 79 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1935.34-1935.45"
+ }
+ },
+ "$logic_and$/usr/bin/../share/yosys/ice40/cells_sim.v:1941$255_Y": {
+ "hide_name": 1,
+ "bits": [ 80 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1941.35-1941.46"
+ }
+ },
+ "$logic_and$/usr/bin/../share/yosys/ice40/cells_sim.v:1945$256_Y": {
+ "hide_name": 1,
+ "bits": [ 81 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1945.35-1945.46"
+ }
+ },
+ "MASK": {
+ "hide_name": 0,
+ "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
+ "attributes": {
+ "defaultvalue": "0000000000000000",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1872.16-1872.20"
+ }
+ },
+ "RADDR": {
+ "hide_name": 0,
+ "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1867.16-1867.21"
+ }
+ },
+ "RCLK": {
+ "hide_name": 0,
+ "bits": [ 18 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1864.16-1864.20"
+ }
+ },
+ "RCLKE": {
+ "hide_name": 0,
+ "bits": [ 19 ],
+ "attributes": {
+ "defaultvalue": "1",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1865.16-1865.21"
+ }
+ },
+ "RDATA": {
+ "hide_name": 0,
+ "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1863.16-1863.21"
+ }
+ },
+ "RE": {
+ "hide_name": 0,
+ "bits": [ 20 ],
+ "attributes": {
+ "defaultvalue": "0",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1866.16-1866.18"
+ }
+ },
+ "WADDR": {
+ "hide_name": 0,
+ "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1871.16-1871.21"
+ }
+ },
+ "WCLKE": {
+ "hide_name": 0,
+ "bits": [ 33 ],
+ "attributes": {
+ "defaultvalue": "1",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1869.16-1869.21"
+ }
+ },
+ "WCLKN": {
+ "hide_name": 0,
+ "bits": [ 32 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1868.16-1868.21"
+ }
+ },
+ "WDATA": {
+ "hide_name": 0,
+ "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1873.16-1873.21"
+ }
+ },
+ "WE": {
+ "hide_name": 0,
+ "bits": [ 34 ],
+ "attributes": {
+ "defaultvalue": "0",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:1870.16-1870.18"
+ }
+ }
+ }
+ },
+ "SB_RGBA_DRV": {
+ "attributes": {
+ "blackbox": "00000000000000000000000000000001",
+ "cells_not_processed": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2692.1-2706.10"
+ },
+ "parameter_default_values": {
+ "CURRENT_MODE": "0b0",
+ "RGB0_CURRENT": "0b000000",
+ "RGB1_CURRENT": "0b000000",
+ "RGB2_CURRENT": "0b000000"
+ },
+ "ports": {
+ "CURREN": {
+ "direction": "input",
+ "bits": [ 2 ]
+ },
+ "RGBLEDEN": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "RGB0PWM": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "RGB1PWM": {
+ "direction": "input",
+ "bits": [ 5 ]
+ },
+ "RGB2PWM": {
+ "direction": "input",
+ "bits": [ 6 ]
+ },
+ "RGB0": {
+ "direction": "output",
+ "bits": [ 7 ]
+ },
+ "RGB1": {
+ "direction": "output",
+ "bits": [ 8 ]
+ },
+ "RGB2": {
+ "direction": "output",
+ "bits": [ 9 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "CURREN": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2693.8-2693.14"
+ }
+ },
+ "RGB0": {
+ "hide_name": 0,
+ "bits": [ 7 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2698.9-2698.13"
+ }
+ },
+ "RGB0PWM": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2695.8-2695.15"
+ }
+ },
+ "RGB1": {
+ "hide_name": 0,
+ "bits": [ 8 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2699.9-2699.13"
+ }
+ },
+ "RGB1PWM": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2696.8-2696.15"
+ }
+ },
+ "RGB2": {
+ "hide_name": 0,
+ "bits": [ 9 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2700.9-2700.13"
+ }
+ },
+ "RGB2PWM": {
+ "hide_name": 0,
+ "bits": [ 6 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2697.8-2697.15"
+ }
+ },
+ "RGBLEDEN": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2694.8-2694.16"
+ }
+ }
+ }
+ },
+ "SB_RGB_DRV": {
+ "attributes": {
+ "blackbox": "00000000000000000000000000000001",
+ "cells_not_processed": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2716.1-2730.10"
+ },
+ "parameter_default_values": {
+ "CURRENT_MODE": "0b0",
+ "RGB0_CURRENT": "0b000000",
+ "RGB1_CURRENT": "0b000000",
+ "RGB2_CURRENT": "0b000000"
+ },
+ "ports": {
+ "RGBLEDEN": {
+ "direction": "input",
+ "bits": [ 2 ]
+ },
+ "RGB0PWM": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "RGB1PWM": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "RGB2PWM": {
+ "direction": "input",
+ "bits": [ 5 ]
+ },
+ "RGBPU": {
+ "direction": "input",
+ "bits": [ 6 ]
+ },
+ "RGB0": {
+ "direction": "output",
+ "bits": [ 7 ]
+ },
+ "RGB1": {
+ "direction": "output",
+ "bits": [ 8 ]
+ },
+ "RGB2": {
+ "direction": "output",
+ "bits": [ 9 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "RGB0": {
+ "hide_name": 0,
+ "bits": [ 7 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2722.9-2722.13"
+ }
+ },
+ "RGB0PWM": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2718.8-2718.15"
+ }
+ },
+ "RGB1": {
+ "hide_name": 0,
+ "bits": [ 8 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2723.9-2723.13"
+ }
+ },
+ "RGB1PWM": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2719.8-2719.15"
+ }
+ },
+ "RGB2": {
+ "hide_name": 0,
+ "bits": [ 9 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2724.9-2724.13"
+ }
+ },
+ "RGB2PWM": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2720.8-2720.15"
+ }
+ },
+ "RGBLEDEN": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2717.8-2717.16"
+ }
+ },
+ "RGBPU": {
+ "hide_name": 0,
+ "bits": [ 6 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2721.8-2721.13"
+ }
+ }
+ }
+ },
+ "SB_SPI": {
+ "attributes": {
+ "blackbox": "00000000000000000000000000000001",
+ "cells_not_processed": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2776.1-2827.10"
+ },
+ "parameter_default_values": {
+ "BUS_ADDR74": "0b0000"
+ },
+ "ports": {
+ "SBCLKI": {
+ "direction": "input",
+ "bits": [ 2 ]
+ },
+ "SBRWI": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "SBSTBI": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "SBADRI7": {
+ "direction": "input",
+ "bits": [ 5 ]
+ },
+ "SBADRI6": {
+ "direction": "input",
+ "bits": [ 6 ]
+ },
+ "SBADRI5": {
+ "direction": "input",
+ "bits": [ 7 ]
+ },
+ "SBADRI4": {
+ "direction": "input",
+ "bits": [ 8 ]
+ },
+ "SBADRI3": {
+ "direction": "input",
+ "bits": [ 9 ]
+ },
+ "SBADRI2": {
+ "direction": "input",
+ "bits": [ 10 ]
+ },
+ "SBADRI1": {
+ "direction": "input",
+ "bits": [ 11 ]
+ },
+ "SBADRI0": {
+ "direction": "input",
+ "bits": [ 12 ]
+ },
+ "SBDATI7": {
+ "direction": "input",
+ "bits": [ 13 ]
+ },
+ "SBDATI6": {
+ "direction": "input",
+ "bits": [ 14 ]
+ },
+ "SBDATI5": {
+ "direction": "input",
+ "bits": [ 15 ]
+ },
+ "SBDATI4": {
+ "direction": "input",
+ "bits": [ 16 ]
+ },
+ "SBDATI3": {
+ "direction": "input",
+ "bits": [ 17 ]
+ },
+ "SBDATI2": {
+ "direction": "input",
+ "bits": [ 18 ]
+ },
+ "SBDATI1": {
+ "direction": "input",
+ "bits": [ 19 ]
+ },
+ "SBDATI0": {
+ "direction": "input",
+ "bits": [ 20 ]
+ },
+ "MI": {
+ "direction": "input",
+ "bits": [ 21 ]
+ },
+ "SI": {
+ "direction": "input",
+ "bits": [ 22 ]
+ },
+ "SCKI": {
+ "direction": "input",
+ "bits": [ 23 ]
+ },
+ "SCSNI": {
+ "direction": "input",
+ "bits": [ 24 ]
+ },
+ "SBDATO7": {
+ "direction": "output",
+ "bits": [ 25 ]
+ },
+ "SBDATO6": {
+ "direction": "output",
+ "bits": [ 26 ]
+ },
+ "SBDATO5": {
+ "direction": "output",
+ "bits": [ 27 ]
+ },
+ "SBDATO4": {
+ "direction": "output",
+ "bits": [ 28 ]
+ },
+ "SBDATO3": {
+ "direction": "output",
+ "bits": [ 29 ]
+ },
+ "SBDATO2": {
+ "direction": "output",
+ "bits": [ 30 ]
+ },
+ "SBDATO1": {
+ "direction": "output",
+ "bits": [ 31 ]
+ },
+ "SBDATO0": {
+ "direction": "output",
+ "bits": [ 32 ]
+ },
+ "SBACKO": {
+ "direction": "output",
+ "bits": [ 33 ]
+ },
+ "SPIIRQ": {
+ "direction": "output",
+ "bits": [ 34 ]
+ },
+ "SPIWKUP": {
+ "direction": "output",
+ "bits": [ 35 ]
+ },
+ "SO": {
+ "direction": "output",
+ "bits": [ 36 ]
+ },
+ "SOE": {
+ "direction": "output",
+ "bits": [ 37 ]
+ },
+ "MO": {
+ "direction": "output",
+ "bits": [ 38 ]
+ },
+ "MOE": {
+ "direction": "output",
+ "bits": [ 39 ]
+ },
+ "SCKO": {
+ "direction": "output",
+ "bits": [ 40 ]
+ },
+ "SCKOE": {
+ "direction": "output",
+ "bits": [ 41 ]
+ },
+ "MCSNO3": {
+ "direction": "output",
+ "bits": [ 42 ]
+ },
+ "MCSNO2": {
+ "direction": "output",
+ "bits": [ 43 ]
+ },
+ "MCSNO1": {
+ "direction": "output",
+ "bits": [ 44 ]
+ },
+ "MCSNO0": {
+ "direction": "output",
+ "bits": [ 45 ]
+ },
+ "MCSNOE3": {
+ "direction": "output",
+ "bits": [ 46 ]
+ },
+ "MCSNOE2": {
+ "direction": "output",
+ "bits": [ 47 ]
+ },
+ "MCSNOE1": {
+ "direction": "output",
+ "bits": [ 48 ]
+ },
+ "MCSNOE0": {
+ "direction": "output",
+ "bits": [ 49 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "MCSNO0": {
+ "hide_name": 0,
+ "bits": [ 45 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2820.9-2820.15"
+ }
+ },
+ "MCSNO1": {
+ "hide_name": 0,
+ "bits": [ 44 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2819.9-2819.15"
+ }
+ },
+ "MCSNO2": {
+ "hide_name": 0,
+ "bits": [ 43 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2818.9-2818.15"
+ }
+ },
+ "MCSNO3": {
+ "hide_name": 0,
+ "bits": [ 42 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2817.9-2817.15"
+ }
+ },
+ "MCSNOE0": {
+ "hide_name": 0,
+ "bits": [ 49 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2824.9-2824.16"
+ }
+ },
+ "MCSNOE1": {
+ "hide_name": 0,
+ "bits": [ 48 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2823.9-2823.16"
+ }
+ },
+ "MCSNOE2": {
+ "hide_name": 0,
+ "bits": [ 47 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2822.9-2822.16"
+ }
+ },
+ "MCSNOE3": {
+ "hide_name": 0,
+ "bits": [ 46 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2821.9-2821.16"
+ }
+ },
+ "MI": {
+ "hide_name": 0,
+ "bits": [ 21 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2796.9-2796.11"
+ }
+ },
+ "MO": {
+ "hide_name": 0,
+ "bits": [ 38 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2813.9-2813.11"
+ }
+ },
+ "MOE": {
+ "hide_name": 0,
+ "bits": [ 39 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2814.9-2814.12"
+ }
+ },
+ "SBACKO": {
+ "hide_name": 0,
+ "bits": [ 33 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2808.9-2808.15"
+ }
+ },
+ "SBADRI0": {
+ "hide_name": 0,
+ "bits": [ 12 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2787.9-2787.16"
+ }
+ },
+ "SBADRI1": {
+ "hide_name": 0,
+ "bits": [ 11 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2786.9-2786.16"
+ }
+ },
+ "SBADRI2": {
+ "hide_name": 0,
+ "bits": [ 10 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2785.9-2785.16"
+ }
+ },
+ "SBADRI3": {
+ "hide_name": 0,
+ "bits": [ 9 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2784.9-2784.16"
+ }
+ },
+ "SBADRI4": {
+ "hide_name": 0,
+ "bits": [ 8 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2783.9-2783.16"
+ }
+ },
+ "SBADRI5": {
+ "hide_name": 0,
+ "bits": [ 7 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2782.9-2782.16"
+ }
+ },
+ "SBADRI6": {
+ "hide_name": 0,
+ "bits": [ 6 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2781.9-2781.16"
+ }
+ },
+ "SBADRI7": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2780.9-2780.16"
+ }
+ },
+ "SBCLKI": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2777.9-2777.15"
+ }
+ },
+ "SBDATI0": {
+ "hide_name": 0,
+ "bits": [ 20 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2795.9-2795.16"
+ }
+ },
+ "SBDATI1": {
+ "hide_name": 0,
+ "bits": [ 19 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2794.9-2794.16"
+ }
+ },
+ "SBDATI2": {
+ "hide_name": 0,
+ "bits": [ 18 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2793.9-2793.16"
+ }
+ },
+ "SBDATI3": {
+ "hide_name": 0,
+ "bits": [ 17 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2792.9-2792.16"
+ }
+ },
+ "SBDATI4": {
+ "hide_name": 0,
+ "bits": [ 16 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2791.9-2791.16"
+ }
+ },
+ "SBDATI5": {
+ "hide_name": 0,
+ "bits": [ 15 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2790.9-2790.16"
+ }
+ },
+ "SBDATI6": {
+ "hide_name": 0,
+ "bits": [ 14 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2789.9-2789.16"
+ }
+ },
+ "SBDATI7": {
+ "hide_name": 0,
+ "bits": [ 13 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2788.9-2788.16"
+ }
+ },
+ "SBDATO0": {
+ "hide_name": 0,
+ "bits": [ 32 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2807.9-2807.16"
+ }
+ },
+ "SBDATO1": {
+ "hide_name": 0,
+ "bits": [ 31 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2806.9-2806.16"
+ }
+ },
+ "SBDATO2": {
+ "hide_name": 0,
+ "bits": [ 30 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2805.9-2805.16"
+ }
+ },
+ "SBDATO3": {
+ "hide_name": 0,
+ "bits": [ 29 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2804.9-2804.16"
+ }
+ },
+ "SBDATO4": {
+ "hide_name": 0,
+ "bits": [ 28 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2803.9-2803.16"
+ }
+ },
+ "SBDATO5": {
+ "hide_name": 0,
+ "bits": [ 27 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2802.9-2802.16"
+ }
+ },
+ "SBDATO6": {
+ "hide_name": 0,
+ "bits": [ 26 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2801.9-2801.16"
+ }
+ },
+ "SBDATO7": {
+ "hide_name": 0,
+ "bits": [ 25 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2800.9-2800.16"
+ }
+ },
+ "SBRWI": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2778.9-2778.14"
+ }
+ },
+ "SBSTBI": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2779.9-2779.15"
+ }
+ },
+ "SCKI": {
+ "hide_name": 0,
+ "bits": [ 23 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2798.9-2798.13"
+ }
+ },
+ "SCKO": {
+ "hide_name": 0,
+ "bits": [ 40 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2815.9-2815.13"
+ }
+ },
+ "SCKOE": {
+ "hide_name": 0,
+ "bits": [ 41 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2816.9-2816.14"
+ }
+ },
+ "SCSNI": {
+ "hide_name": 0,
+ "bits": [ 24 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2799.9-2799.14"
+ }
+ },
+ "SI": {
+ "hide_name": 0,
+ "bits": [ 22 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2797.9-2797.11"
+ }
+ },
+ "SO": {
+ "hide_name": 0,
+ "bits": [ 36 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2811.9-2811.11"
+ }
+ },
+ "SOE": {
+ "hide_name": 0,
+ "bits": [ 37 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2812.9-2812.12"
+ }
+ },
+ "SPIIRQ": {
+ "hide_name": 0,
+ "bits": [ 34 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2809.9-2809.15"
+ }
+ },
+ "SPIWKUP": {
+ "hide_name": 0,
+ "bits": [ 35 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2810.9-2810.16"
+ }
+ }
+ }
+ },
+ "SB_SPRAM256KA": {
+ "attributes": {
+ "blackbox": "00000000000000000000000000000001",
+ "cells_not_processed": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2600.1-2661.10"
+ },
+ "ports": {
+ "ADDRESS": {
+ "direction": "input",
+ "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ]
+ },
+ "DATAIN": {
+ "direction": "input",
+ "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
+ },
+ "MASKWREN": {
+ "direction": "input",
+ "bits": [ 32, 33, 34, 35 ]
+ },
+ "WREN": {
+ "direction": "input",
+ "bits": [ 36 ]
+ },
+ "CHIPSELECT": {
+ "direction": "input",
+ "bits": [ 37 ]
+ },
+ "CLOCK": {
+ "direction": "input",
+ "bits": [ 38 ]
+ },
+ "STANDBY": {
+ "direction": "input",
+ "bits": [ 39 ]
+ },
+ "SLEEP": {
+ "direction": "input",
+ "bits": [ 40 ]
+ },
+ "POWEROFF": {
+ "direction": "input",
+ "bits": [ 41 ]
+ },
+ "DATAOUT": {
+ "direction": "output",
+ "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "ADDRESS": {
+ "hide_name": 0,
+ "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2601.15-2601.22"
+ }
+ },
+ "CHIPSELECT": {
+ "hide_name": 0,
+ "bits": [ 37 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2604.14-2604.24"
+ }
+ },
+ "CLOCK": {
+ "hide_name": 0,
+ "bits": [ 38 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2604.26-2604.31"
+ }
+ },
+ "DATAIN": {
+ "hide_name": 0,
+ "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2602.15-2602.21"
+ }
+ },
+ "DATAOUT": {
+ "hide_name": 0,
+ "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2605.20-2605.27"
+ }
+ },
+ "MASKWREN": {
+ "hide_name": 0,
+ "bits": [ 32, 33, 34, 35 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2603.14-2603.22"
+ }
+ },
+ "POWEROFF": {
+ "hide_name": 0,
+ "bits": [ 41 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2604.49-2604.57"
+ }
+ },
+ "SLEEP": {
+ "hide_name": 0,
+ "bits": [ 40 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2604.42-2604.47"
+ }
+ },
+ "STANDBY": {
+ "hide_name": 0,
+ "bits": [ 39 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2604.33-2604.40"
+ }
+ },
+ "WREN": {
+ "hide_name": 0,
+ "bits": [ 36 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2604.8-2604.12"
+ }
+ }
+ }
+ },
+ "SB_WARMBOOT": {
+ "attributes": {
+ "keep": "00000000000000000000000000000001",
+ "blackbox": "00000000000000000000000000000001",
+ "cells_not_processed": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2593.1-2598.10"
+ },
+ "ports": {
+ "BOOT": {
+ "direction": "input",
+ "bits": [ 2 ]
+ },
+ "S1": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "S0": {
+ "direction": "input",
+ "bits": [ 4 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "BOOT": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2594.8-2594.12"
+ }
+ },
+ "S0": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2596.8-2596.10"
+ }
+ },
+ "S1": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/cells_sim.v:2595.8-2595.10"
+ }
+ }
+ }
+ },
+ "mictoled": {
+ "attributes": {
+ "hdlname": "mictoled",
+ "dynports": "00000000000000000000000000000001",
+ "top": "00000000000000000000000000000001",
+ "src": "mictoled.v:20.1-103.10"
+ },
+ "parameter_default_values": {
+ "COMB_WIDTH": "00000000000000000000000000001100",
+ "INTEGRATOR_WIDTH": "00000000000000000000000000010000"
+ },
+ "ports": {
+ "clk": {
+ "direction": "input",
+ "bits": [ 2 ]
+ },
+ "btn": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "mic_clk": {
+ "direction": "output",
+ "bits": [ 4 ]
+ },
+ "mic_dat": {
+ "direction": "input",
+ "bits": [ 5 ]
+ },
+ "led1": {
+ "direction": "output",
+ "bits": [ 6 ]
+ },
+ "led2": {
+ "direction": "output",
+ "bits": [ 7 ]
+ },
+ "led3": {
+ "direction": "output",
+ "bits": [ 8 ]
+ },
+ "led4": {
+ "direction": "output",
+ "bits": [ 9 ]
+ },
+ "led5": {
+ "direction": "output",
+ "bits": [ 10 ]
+ },
+ "led6": {
+ "direction": "output",
+ "bits": [ 11 ]
+ },
+ "led7": {
+ "direction": "output",
+ "bits": [ 12 ]
+ },
+ "led8": {
+ "direction": "output",
+ "bits": [ 13 ]
+ },
+ "lcol1": {
+ "direction": "output",
+ "bits": [ "0" ]
+ },
+ "lcol2": {
+ "direction": "output",
+ "bits": [ "1" ]
+ },
+ "lcol3": {
+ "direction": "output",
+ "bits": [ "1" ]
+ },
+ "lcol4": {
+ "direction": "output",
+ "bits": [ "1" ]
+ }
+ },
+ "cells": {
+ "clkdiv_SB_DFF_Q": {
+ "hide_name": 0,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:37.5-39.8|/usr/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ "0" ],
+ "Q": [ 14 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_1": {
+ "hide_name": 0,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:37.5-39.8|/usr/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ "0" ],
+ "Q": [ 15 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_10": {
+ "hide_name": 0,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:37.5-39.8|/usr/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ "0" ],
+ "Q": [ 16 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_11": {
+ "hide_name": 0,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:37.5-39.8|/usr/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ "0" ],
+ "Q": [ 17 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_12": {
+ "hide_name": 0,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:37.5-39.8|/usr/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ "0" ],
+ "Q": [ 18 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_13": {
+ "hide_name": 0,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:37.5-39.8|/usr/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ "0" ],
+ "Q": [ 19 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_14": {
+ "hide_name": 0,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:37.5-39.8|/usr/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ "0" ],
+ "Q": [ 20 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_15": {
+ "hide_name": 0,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:37.5-39.8|/usr/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ "0" ],
+ "Q": [ 21 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_16": {
+ "hide_name": 0,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:37.5-39.8|/usr/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ "0" ],
+ "Q": [ 22 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_17": {
+ "hide_name": 0,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:37.5-39.8|/usr/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ "0" ],
+ "Q": [ 23 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_18": {
+ "hide_name": 0,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:37.5-39.8|/usr/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ "0" ],
+ "Q": [ 24 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_19": {
+ "hide_name": 0,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:37.5-39.8|/usr/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ "0" ],
+ "Q": [ 25 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_2": {
+ "hide_name": 0,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:37.5-39.8|/usr/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ "0" ],
+ "Q": [ 26 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_20": {
+ "hide_name": 0,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:37.5-39.8|/usr/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ "0" ],
+ "Q": [ 27 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_21": {
+ "hide_name": 0,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:37.5-39.8|/usr/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ "0" ],
+ "Q": [ 28 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_22": {
+ "hide_name": 0,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:37.5-39.8|/usr/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ "0" ],
+ "Q": [ 29 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_23": {
+ "hide_name": 0,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:37.5-39.8|/usr/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ "0" ],
+ "Q": [ 30 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_24": {
+ "hide_name": 0,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:37.5-39.8|/usr/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ "0" ],
+ "Q": [ 31 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_25": {
+ "hide_name": 0,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:37.5-39.8|/usr/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ "0" ],
+ "Q": [ 32 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_26": {
+ "hide_name": 0,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:37.5-39.8|/usr/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ "0" ],
+ "Q": [ 33 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_27": {
+ "hide_name": 0,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:37.5-39.8|/usr/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 34 ],
+ "Q": [ 35 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_27_D_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000001111000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 36 ],
+ "I2": [ 37 ],
+ "I3": [ 38 ],
+ "O": [ 34 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_28": {
+ "hide_name": 0,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:37.5-39.8|/usr/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 39 ],
+ "Q": [ 40 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_28_D_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100000000110011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 36 ],
+ "I2": [ 37 ],
+ "I3": [ 38 ],
+ "O": [ 39 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_28_D_SB_LUT4_O_I3_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 41 ],
+ "CO": [ 38 ],
+ "I0": [ "0" ],
+ "I1": [ "1" ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_28_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 42 ],
+ "CO": [ 41 ],
+ "I0": [ "0" ],
+ "I1": [ "1" ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_28_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 43 ],
+ "CO": [ 42 ],
+ "I0": [ "0" ],
+ "I1": [ "1" ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_28_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 44 ],
+ "CO": [ 43 ],
+ "I0": [ "0" ],
+ "I1": [ "1" ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_28_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 45 ],
+ "CO": [ 44 ],
+ "I0": [ "0" ],
+ "I1": [ "1" ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_28_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 46 ],
+ "CO": [ 45 ],
+ "I0": [ "0" ],
+ "I1": [ "1" ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_28_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 47 ],
+ "CO": [ 46 ],
+ "I0": [ "0" ],
+ "I1": [ "1" ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_28_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 48 ],
+ "CO": [ 47 ],
+ "I0": [ "0" ],
+ "I1": [ "1" ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_28_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 49 ],
+ "CO": [ 48 ],
+ "I0": [ "0" ],
+ "I1": [ "1" ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_28_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 50 ],
+ "CO": [ 49 ],
+ "I0": [ "0" ],
+ "I1": [ "1" ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_28_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 51 ],
+ "CO": [ 50 ],
+ "I0": [ "0" ],
+ "I1": [ "1" ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_28_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 52 ],
+ "CO": [ 51 ],
+ "I0": [ "0" ],
+ "I1": [ "1" ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_28_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 53 ],
+ "CO": [ 52 ],
+ "I0": [ "0" ],
+ "I1": [ "1" ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_28_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 54 ],
+ "CO": [ 53 ],
+ "I0": [ "0" ],
+ "I1": [ "1" ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_28_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 55 ],
+ "CO": [ 54 ],
+ "I0": [ "0" ],
+ "I1": [ "1" ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29": {
+ "hide_name": 0,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:37.5-39.8|/usr/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 56 ],
+ "Q": [ 57 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ 56 ],
+ "O": [ 58 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_I3_O_SB_CARRY_I1": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 59 ],
+ "CO": [ 60 ],
+ "I0": [ "0" ],
+ "I1": [ 58 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_I3_O_SB_CARRY_I1_CO_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 60 ],
+ "CO": [ 61 ],
+ "I0": [ "1" ],
+ "I1": [ 62 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_I3_O_SB_CARRY_I1_CO_SB_CARRY_CI_CO_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 61 ],
+ "CO": [ 63 ],
+ "I0": [ "1" ],
+ "I1": [ 37 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_I3_O_SB_CARRY_I1_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 63 ],
+ "CO": [ 64 ],
+ "I0": [ "0" ],
+ "I1": [ 65 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_I3_O_SB_CARRY_I1_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 64 ],
+ "CO": [ 66 ],
+ "I0": [ "0" ],
+ "I1": [ "1" ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_I3_O_SB_CARRY_I1_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 66 ],
+ "CO": [ 67 ],
+ "I0": [ "0" ],
+ "I1": [ "1" ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_I3_O_SB_CARRY_I1_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 67 ],
+ "CO": [ 68 ],
+ "I0": [ "0" ],
+ "I1": [ "1" ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_I3_O_SB_CARRY_I1_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 68 ],
+ "CO": [ 69 ],
+ "I0": [ "0" ],
+ "I1": [ "1" ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_I3_O_SB_CARRY_I1_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 69 ],
+ "CO": [ 70 ],
+ "I0": [ "0" ],
+ "I1": [ "1" ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_I3_O_SB_CARRY_I1_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 70 ],
+ "CO": [ 71 ],
+ "I0": [ "0" ],
+ "I1": [ "1" ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_I3_O_SB_CARRY_I1_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 71 ],
+ "CO": [ 72 ],
+ "I0": [ "0" ],
+ "I1": [ "1" ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_I3_O_SB_CARRY_I1_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 72 ],
+ "CO": [ 73 ],
+ "I0": [ "0" ],
+ "I1": [ "1" ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_I3_O_SB_CARRY_I1_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 73 ],
+ "CO": [ 74 ],
+ "I0": [ "0" ],
+ "I1": [ "1" ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_I3_O_SB_CARRY_I1_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 74 ],
+ "CO": [ 75 ],
+ "I0": [ "0" ],
+ "I1": [ "1" ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_I3_O_SB_CARRY_I1_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 75 ],
+ "CO": [ 55 ],
+ "I0": [ "0" ],
+ "I1": [ "1" ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_I3_O_SB_CARRY_I1_CO_SB_CARRY_CI_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ 36 ],
+ "O": [ 62 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 57 ],
+ "I3": [ 76 ],
+ "O": [ 56 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 76 ],
+ "CO": [ 77 ],
+ "I0": [ "0" ],
+ "I1": [ 57 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 77 ],
+ "CO": [ 78 ],
+ "I0": [ "0" ],
+ "I1": [ 40 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 78 ],
+ "CO": [ 79 ],
+ "I0": [ "0" ],
+ "I1": [ 35 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 79 ],
+ "CO": [ 80 ],
+ "I0": [ "0" ],
+ "I1": [ 33 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 80 ],
+ "CO": [ 81 ],
+ "I0": [ "0" ],
+ "I1": [ 32 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 81 ],
+ "CO": [ 82 ],
+ "I0": [ "0" ],
+ "I1": [ 31 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 82 ],
+ "CO": [ 83 ],
+ "I0": [ "0" ],
+ "I1": [ 30 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 83 ],
+ "CO": [ 84 ],
+ "I0": [ "0" ],
+ "I1": [ 29 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 84 ],
+ "CO": [ 85 ],
+ "I0": [ "0" ],
+ "I1": [ 28 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 85 ],
+ "CO": [ 86 ],
+ "I0": [ "0" ],
+ "I1": [ 27 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 86 ],
+ "CO": [ 87 ],
+ "I0": [ "0" ],
+ "I1": [ 25 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 87 ],
+ "CO": [ 88 ],
+ "I0": [ "0" ],
+ "I1": [ 24 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 88 ],
+ "CO": [ 89 ],
+ "I0": [ "0" ],
+ "I1": [ 23 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 89 ],
+ "CO": [ 90 ],
+ "I0": [ "0" ],
+ "I1": [ 22 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 90 ],
+ "CO": [ 91 ],
+ "I0": [ "0" ],
+ "I1": [ 21 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 91 ],
+ "CO": [ 92 ],
+ "I0": [ "0" ],
+ "I1": [ 20 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 92 ],
+ "CO": [ 93 ],
+ "I0": [ "0" ],
+ "I1": [ 19 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 93 ],
+ "CO": [ 94 ],
+ "I0": [ "0" ],
+ "I1": [ 18 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 94 ],
+ "CO": [ 95 ],
+ "I0": [ "0" ],
+ "I1": [ 17 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 95 ],
+ "CO": [ 96 ],
+ "I0": [ "0" ],
+ "I1": [ 16 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 96 ],
+ "CO": [ 97 ],
+ "I0": [ "0" ],
+ "I1": [ 98 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 97 ],
+ "CO": [ 99 ],
+ "I0": [ "0" ],
+ "I1": [ 100 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 99 ],
+ "CO": [ 101 ],
+ "I0": [ "0" ],
+ "I1": [ 102 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 101 ],
+ "CO": [ 103 ],
+ "I0": [ "0" ],
+ "I1": [ 104 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 103 ],
+ "CO": [ 105 ],
+ "I0": [ "0" ],
+ "I1": [ 106 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 105 ],
+ "CO": [ 107 ],
+ "I0": [ "0" ],
+ "I1": [ 108 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 107 ],
+ "CO": [ 109 ],
+ "I0": [ "0" ],
+ "I1": [ 110 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 109 ],
+ "CO": [ 111 ],
+ "I0": [ "0" ],
+ "I1": [ 26 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 111 ],
+ "CO": [ 112 ],
+ "I0": [ "0" ],
+ "I1": [ 15 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 14 ],
+ "I3": [ 112 ],
+ "O": [ 113 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 15 ],
+ "I3": [ 111 ],
+ "O": [ 114 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 114 ],
+ "CO": [ 115 ],
+ "I0": [ 113 ],
+ "I1": [ "0" ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 114 ],
+ "I3": [ 115 ],
+ "O": [ 116 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 26 ],
+ "I3": [ 109 ],
+ "O": [ 117 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 117 ],
+ "CO": [ 118 ],
+ "I0": [ 116 ],
+ "I1": [ "0" ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI_CO_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0010110111010010"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 113 ],
+ "I1": [ 115 ],
+ "I2": [ "1" ],
+ "I3": [ 118 ],
+ "O": [ 119 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 117 ],
+ "I3": [ 119 ],
+ "O": [ 120 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 110 ],
+ "I3": [ 107 ],
+ "O": [ 121 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 121 ],
+ "CO": [ 122 ],
+ "I0": [ 120 ],
+ "I1": [ "0" ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI_CO_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100001000111101"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 117 ],
+ "I1": [ 116 ],
+ "I2": [ 119 ],
+ "I3": [ 122 ],
+ "O": [ 123 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 121 ],
+ "I3": [ 123 ],
+ "O": [ 124 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 108 ],
+ "I3": [ 105 ],
+ "O": [ 125 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 125 ],
+ "CO": [ 126 ],
+ "I0": [ 124 ],
+ "I1": [ "0" ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI_CO_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100001000111101"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 121 ],
+ "I1": [ 120 ],
+ "I2": [ 123 ],
+ "I3": [ 126 ],
+ "O": [ 127 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 125 ],
+ "I3": [ 127 ],
+ "O": [ 128 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 106 ],
+ "I3": [ 103 ],
+ "O": [ 129 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 129 ],
+ "CO": [ 130 ],
+ "I0": [ 128 ],
+ "I1": [ "0" ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI_CO_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100001000111101"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 125 ],
+ "I1": [ 124 ],
+ "I2": [ 127 ],
+ "I3": [ 130 ],
+ "O": [ 131 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 129 ],
+ "I3": [ 131 ],
+ "O": [ 132 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 104 ],
+ "I3": [ 101 ],
+ "O": [ 133 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 133 ],
+ "CO": [ 134 ],
+ "I0": [ 132 ],
+ "I1": [ "0" ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI_CO_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100001000111101"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 129 ],
+ "I1": [ 128 ],
+ "I2": [ 131 ],
+ "I3": [ 134 ],
+ "O": [ 135 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 133 ],
+ "I3": [ 135 ],
+ "O": [ 136 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 102 ],
+ "I3": [ 99 ],
+ "O": [ 137 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 137 ],
+ "CO": [ 138 ],
+ "I0": [ 136 ],
+ "I1": [ "0" ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI_CO_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100001000111101"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 133 ],
+ "I1": [ 132 ],
+ "I2": [ 135 ],
+ "I3": [ 138 ],
+ "O": [ 139 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 137 ],
+ "I3": [ 139 ],
+ "O": [ 140 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 100 ],
+ "I3": [ 97 ],
+ "O": [ 141 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 141 ],
+ "CO": [ 142 ],
+ "I0": [ 140 ],
+ "I1": [ "0" ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI_CO_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100001000111101"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 137 ],
+ "I1": [ 136 ],
+ "I2": [ 139 ],
+ "I3": [ 142 ],
+ "O": [ 143 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 141 ],
+ "I3": [ 143 ],
+ "O": [ 144 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 98 ],
+ "I3": [ 96 ],
+ "O": [ 145 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 145 ],
+ "CO": [ 146 ],
+ "I0": [ 144 ],
+ "I1": [ "0" ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI_CO_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100001000111101"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 141 ],
+ "I1": [ 140 ],
+ "I2": [ 143 ],
+ "I3": [ 146 ],
+ "O": [ 147 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 145 ],
+ "I3": [ 147 ],
+ "O": [ 148 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 16 ],
+ "I3": [ 95 ],
+ "O": [ 149 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 149 ],
+ "CO": [ 150 ],
+ "I0": [ 148 ],
+ "I1": [ "0" ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI_CO_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100011000111001"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 145 ],
+ "I1": [ 144 ],
+ "I2": [ 147 ],
+ "I3": [ 150 ],
+ "O": [ 151 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 149 ],
+ "I3": [ 151 ],
+ "O": [ 152 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 17 ],
+ "I3": [ 94 ],
+ "O": [ 153 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 153 ],
+ "CO": [ 154 ],
+ "I0": [ 152 ],
+ "I1": [ "0" ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI_CO_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100001000111101"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 149 ],
+ "I1": [ 148 ],
+ "I2": [ 151 ],
+ "I3": [ 154 ],
+ "O": [ 155 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 153 ],
+ "I3": [ 155 ],
+ "O": [ 156 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 18 ],
+ "I3": [ 93 ],
+ "O": [ 157 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 157 ],
+ "CO": [ 158 ],
+ "I0": [ 156 ],
+ "I1": [ "0" ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI_CO_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100001000111101"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 153 ],
+ "I1": [ 152 ],
+ "I2": [ 155 ],
+ "I3": [ 158 ],
+ "O": [ 159 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 157 ],
+ "I3": [ 159 ],
+ "O": [ 160 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 19 ],
+ "I3": [ 92 ],
+ "O": [ 161 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 161 ],
+ "CO": [ 162 ],
+ "I0": [ 160 ],
+ "I1": [ "0" ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI_CO_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100001000111101"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 157 ],
+ "I1": [ 156 ],
+ "I2": [ 159 ],
+ "I3": [ 162 ],
+ "O": [ 163 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 161 ],
+ "I3": [ 163 ],
+ "O": [ 164 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 20 ],
+ "I3": [ 91 ],
+ "O": [ 165 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 165 ],
+ "CO": [ 166 ],
+ "I0": [ 164 ],
+ "I1": [ "0" ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI_CO_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100001000111101"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 161 ],
+ "I1": [ 160 ],
+ "I2": [ 163 ],
+ "I3": [ 166 ],
+ "O": [ 167 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 165 ],
+ "I3": [ 167 ],
+ "O": [ 168 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 21 ],
+ "I3": [ 90 ],
+ "O": [ 169 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 169 ],
+ "CO": [ 170 ],
+ "I0": [ 168 ],
+ "I1": [ "0" ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI_CO_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100001000111101"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 165 ],
+ "I1": [ 164 ],
+ "I2": [ 167 ],
+ "I3": [ 170 ],
+ "O": [ 171 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 169 ],
+ "I3": [ 171 ],
+ "O": [ 172 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 22 ],
+ "I3": [ 89 ],
+ "O": [ 173 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 173 ],
+ "CO": [ 174 ],
+ "I0": [ 172 ],
+ "I1": [ "0" ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI_CO_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100001000111101"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 169 ],
+ "I1": [ 168 ],
+ "I2": [ 171 ],
+ "I3": [ 174 ],
+ "O": [ 175 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 173 ],
+ "I3": [ 175 ],
+ "O": [ 176 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 23 ],
+ "I3": [ 88 ],
+ "O": [ 177 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 177 ],
+ "CO": [ 178 ],
+ "I0": [ 176 ],
+ "I1": [ "0" ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI_CO_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100001000111101"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 173 ],
+ "I1": [ 172 ],
+ "I2": [ 175 ],
+ "I3": [ 178 ],
+ "O": [ 179 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 177 ],
+ "I3": [ 179 ],
+ "O": [ 180 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 24 ],
+ "I3": [ 87 ],
+ "O": [ 181 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 181 ],
+ "CO": [ 182 ],
+ "I0": [ 180 ],
+ "I1": [ "0" ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI_CO_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100001000111101"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 177 ],
+ "I1": [ 176 ],
+ "I2": [ 179 ],
+ "I3": [ 182 ],
+ "O": [ 183 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 181 ],
+ "I3": [ 183 ],
+ "O": [ 184 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 25 ],
+ "I3": [ 86 ],
+ "O": [ 185 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 185 ],
+ "CO": [ 186 ],
+ "I0": [ 184 ],
+ "I1": [ "0" ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI_CO_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100011000111001"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 181 ],
+ "I1": [ 180 ],
+ "I2": [ 183 ],
+ "I3": [ 186 ],
+ "O": [ 187 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 185 ],
+ "I3": [ 187 ],
+ "O": [ 188 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 27 ],
+ "I3": [ 85 ],
+ "O": [ 189 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 189 ],
+ "CO": [ 190 ],
+ "I0": [ 188 ],
+ "I1": [ "0" ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI_CO_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100011000111001"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 185 ],
+ "I1": [ 184 ],
+ "I2": [ 187 ],
+ "I3": [ 190 ],
+ "O": [ 191 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 189 ],
+ "I3": [ 191 ],
+ "O": [ 192 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 28 ],
+ "I3": [ 84 ],
+ "O": [ 193 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 193 ],
+ "CO": [ 194 ],
+ "I0": [ 192 ],
+ "I1": [ "0" ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI_CO_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100011000111001"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 189 ],
+ "I1": [ 188 ],
+ "I2": [ 191 ],
+ "I3": [ 194 ],
+ "O": [ 195 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 193 ],
+ "I3": [ 195 ],
+ "O": [ 196 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 29 ],
+ "I3": [ 83 ],
+ "O": [ 197 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 197 ],
+ "CO": [ 198 ],
+ "I0": [ 196 ],
+ "I1": [ "0" ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI_CO_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100001000111101"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 193 ],
+ "I1": [ 192 ],
+ "I2": [ 195 ],
+ "I3": [ 198 ],
+ "O": [ 199 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 197 ],
+ "I3": [ 199 ],
+ "O": [ 200 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 30 ],
+ "I3": [ 82 ],
+ "O": [ 201 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 201 ],
+ "CO": [ 202 ],
+ "I0": [ 200 ],
+ "I1": [ "0" ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI_CO_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100011000111001"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 197 ],
+ "I1": [ 196 ],
+ "I2": [ 199 ],
+ "I3": [ 202 ],
+ "O": [ 203 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 201 ],
+ "I3": [ 203 ],
+ "O": [ 204 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 31 ],
+ "I3": [ 81 ],
+ "O": [ 205 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 205 ],
+ "CO": [ 206 ],
+ "I0": [ 204 ],
+ "I1": [ "0" ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI_CO_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100001000111101"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 201 ],
+ "I1": [ 200 ],
+ "I2": [ 203 ],
+ "I3": [ 206 ],
+ "O": [ 207 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 205 ],
+ "I3": [ 207 ],
+ "O": [ 208 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 32 ],
+ "I3": [ 80 ],
+ "O": [ 209 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 33 ],
+ "I3": [ 79 ],
+ "O": [ 210 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 35 ],
+ "I3": [ 78 ],
+ "O": [ 211 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 40 ],
+ "I3": [ 77 ],
+ "O": [ 36 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000111111110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 211 ],
+ "I3": [ 212 ],
+ "O": [ 37 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_O_I2_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 211 ],
+ "CO": [ 213 ],
+ "I0": [ 214 ],
+ "I1": [ "0" ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000111111000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 211 ],
+ "I2": [ 214 ],
+ "I3": [ 212 ],
+ "O": [ 65 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100011000111001"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 210 ],
+ "I1": [ 215 ],
+ "I2": [ 216 ],
+ "I3": [ 213 ],
+ "O": [ 212 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I1_SB_CARRY_I0": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 210 ],
+ "CO": [ 217 ],
+ "I0": [ 215 ],
+ "I1": [ "0" ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 209 ],
+ "I3": [ 218 ],
+ "O": [ 215 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 209 ],
+ "CO": [ 219 ],
+ "I0": [ 208 ],
+ "I1": [ "0" ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_CARRY_CI_CO_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100001000111101"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 205 ],
+ "I1": [ 204 ],
+ "I2": [ 207 ],
+ "I3": [ 219 ],
+ "O": [ 218 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 210 ],
+ "I3": [ 216 ],
+ "O": [ 214 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100001000111101"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 209 ],
+ "I1": [ 208 ],
+ "I2": [ 218 ],
+ "I3": [ 217 ],
+ "O": [ 216 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 220 ],
+ "CO": [ 76 ],
+ "I0": [ "0" ],
+ "I1": [ 221 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_3": {
+ "hide_name": 0,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:37.5-39.8|/usr/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ "0" ],
+ "Q": [ 110 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_30": {
+ "hide_name": 0,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:37.5-39.8|/usr/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 222 ],
+ "Q": [ 221 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_30_D_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ 222 ],
+ "O": [ 223 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_30_D_SB_LUT4_I3_O_SB_CARRY_I1": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 220 ],
+ "CO": [ 59 ],
+ "I0": [ "0" ],
+ "I1": [ 223 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_30_D_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 221 ],
+ "I3": [ 220 ],
+ "O": [ 222 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_31": {
+ "hide_name": 0,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:37.5-39.8|/usr/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 224 ],
+ "Q": [ 220 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_31_D_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:42.22-42.34|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 224 ],
+ "CO": [ 225 ],
+ "I0": [ "0" ],
+ "I1": [ 226 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_31_D_SB_CARRY_CI_CO_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:42.22-42.34|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 225 ],
+ "CO": [ 227 ],
+ "I0": [ "1" ],
+ "I1": [ 228 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_31_D_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:42.22-42.34|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 227 ],
+ "CO": [ 229 ],
+ "I0": [ "1" ],
+ "I1": [ 230 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_31_D_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:42.22-42.34|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 229 ],
+ "CO": [ 231 ],
+ "I0": [ "0" ],
+ "I1": [ 232 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_31_D_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:42.22-42.34|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 231 ],
+ "CO": [ 233 ],
+ "I0": [ "0" ],
+ "I1": [ 234 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_31_D_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:42.22-42.34|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 233 ],
+ "CO": [ 235 ],
+ "I0": [ "0" ],
+ "I1": [ 236 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_31_D_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:42.22-42.34|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 235 ],
+ "CO": [ 237 ],
+ "I0": [ "0" ],
+ "I1": [ 238 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_31_D_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:42.22-42.34|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 237 ],
+ "CO": [ 239 ],
+ "I0": [ "0" ],
+ "I1": [ 240 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_31_D_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:42.22-42.34|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 239 ],
+ "CO": [ 241 ],
+ "I0": [ "0" ],
+ "I1": [ 242 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_31_D_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:42.22-42.34|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 241 ],
+ "CO": [ 243 ],
+ "I0": [ "0" ],
+ "I1": [ 244 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_31_D_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:42.22-42.34|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 243 ],
+ "CO": [ 245 ],
+ "I0": [ "0" ],
+ "I1": [ 246 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_31_D_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:42.22-42.34|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 245 ],
+ "CO": [ 247 ],
+ "I0": [ "0" ],
+ "I1": [ 248 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_31_D_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:42.22-42.34|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 247 ],
+ "CO": [ 249 ],
+ "I0": [ "0" ],
+ "I1": [ 250 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_31_D_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:42.22-42.34|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 249 ],
+ "CO": [ 251 ],
+ "I0": [ "0" ],
+ "I1": [ 252 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_31_D_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:42.22-42.34|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 251 ],
+ "CO": [ 253 ],
+ "I0": [ "0" ],
+ "I1": [ 254 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_31_D_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ 22 ],
+ "O": [ 254 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_31_D_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ 23 ],
+ "O": [ 252 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_31_D_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ 24 ],
+ "O": [ 250 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_31_D_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ 25 ],
+ "O": [ 248 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_31_D_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ 27 ],
+ "O": [ 246 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_31_D_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ 28 ],
+ "O": [ 244 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_31_D_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ 29 ],
+ "O": [ 242 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_31_D_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ 30 ],
+ "O": [ 240 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_31_D_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ 31 ],
+ "O": [ 238 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_31_D_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ 32 ],
+ "O": [ 236 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_31_D_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ 33 ],
+ "O": [ 234 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_31_D_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ 35 ],
+ "O": [ 232 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_31_D_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ 40 ],
+ "O": [ 230 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_31_D_SB_CARRY_CI_CO_SB_CARRY_CI_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ 57 ],
+ "O": [ 228 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_31_D_SB_CARRY_CI_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ 221 ],
+ "O": [ 226 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_31_D_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ 220 ],
+ "O": [ 224 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_4": {
+ "hide_name": 0,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:37.5-39.8|/usr/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ "0" ],
+ "Q": [ 108 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_5": {
+ "hide_name": 0,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:37.5-39.8|/usr/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ "0" ],
+ "Q": [ 106 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_6": {
+ "hide_name": 0,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:37.5-39.8|/usr/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ "0" ],
+ "Q": [ 104 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_7": {
+ "hide_name": 0,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:37.5-39.8|/usr/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ "0" ],
+ "Q": [ 102 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_8": {
+ "hide_name": 0,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:37.5-39.8|/usr/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ "0" ],
+ "Q": [ 100 ]
+ }
+ },
+ "clkdiv_SB_DFF_Q_9": {
+ "hide_name": 0,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:37.5-39.8|/usr/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ "0" ],
+ "Q": [ 98 ]
+ }
+ },
+ "comb_out_SB_DFFE_Q": {
+ "hide_name": 0,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:62.5-67.8|/usr/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 255 ],
+ "E": [ 256 ],
+ "Q": [ 257 ]
+ }
+ },
+ "comb_out_SB_DFFE_Q_1": {
+ "hide_name": 0,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:62.5-67.8|/usr/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 258 ],
+ "E": [ 256 ],
+ "Q": [ 259 ]
+ }
+ },
+ "comb_out_SB_DFFE_Q_10": {
+ "hide_name": 0,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:62.5-67.8|/usr/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 260 ],
+ "E": [ 256 ],
+ "Q": [ 261 ]
+ }
+ },
+ "comb_out_SB_DFFE_Q_10_D_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:64.24-64.56|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 262 ],
+ "I2": [ 263 ],
+ "I3": [ 264 ],
+ "O": [ 260 ]
+ }
+ },
+ "comb_out_SB_DFFE_Q_10_D_SB_LUT4_O_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ 265 ],
+ "O": [ 263 ]
+ }
+ },
+ "comb_out_SB_DFFE_Q_10_D_SB_LUT4_O_I3_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:64.24-64.56|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ "1" ],
+ "CO": [ 264 ],
+ "I0": [ 266 ],
+ "I1": [ 267 ]
+ }
+ },
+ "comb_out_SB_DFFE_Q_11": {
+ "hide_name": 0,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:62.5-67.8|/usr/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 268 ],
+ "E": [ 256 ],
+ "Q": [ 269 ]
+ }
+ },
+ "comb_out_SB_DFFE_Q_11_D_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:64.24-64.56|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 266 ],
+ "I2": [ 267 ],
+ "I3": [ "1" ],
+ "O": [ 268 ]
+ }
+ },
+ "comb_out_SB_DFFE_Q_11_D_SB_LUT4_O_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ 270 ],
+ "O": [ 267 ]
+ }
+ },
+ "comb_out_SB_DFFE_Q_1_D_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:64.24-64.56|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 271 ],
+ "I2": [ 272 ],
+ "I3": [ 273 ],
+ "O": [ 258 ]
+ }
+ },
+ "comb_out_SB_DFFE_Q_1_D_SB_LUT4_O_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ 274 ],
+ "O": [ 272 ]
+ }
+ },
+ "comb_out_SB_DFFE_Q_1_D_SB_LUT4_O_I3_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:64.24-64.56|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 275 ],
+ "CO": [ 273 ],
+ "I0": [ 276 ],
+ "I1": [ 277 ]
+ }
+ },
+ "comb_out_SB_DFFE_Q_2": {
+ "hide_name": 0,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:62.5-67.8|/usr/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 278 ],
+ "E": [ 256 ],
+ "Q": [ 279 ]
+ }
+ },
+ "comb_out_SB_DFFE_Q_2_D_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:64.24-64.56|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 276 ],
+ "I2": [ 277 ],
+ "I3": [ 275 ],
+ "O": [ 278 ]
+ }
+ },
+ "comb_out_SB_DFFE_Q_2_D_SB_LUT4_O_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ 280 ],
+ "O": [ 277 ]
+ }
+ },
+ "comb_out_SB_DFFE_Q_2_D_SB_LUT4_O_I3_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:64.24-64.56|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 281 ],
+ "CO": [ 275 ],
+ "I0": [ 282 ],
+ "I1": [ 283 ]
+ }
+ },
+ "comb_out_SB_DFFE_Q_3": {
+ "hide_name": 0,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:62.5-67.8|/usr/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 284 ],
+ "E": [ 256 ],
+ "Q": [ 285 ]
+ }
+ },
+ "comb_out_SB_DFFE_Q_3_D_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:64.24-64.56|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 282 ],
+ "I2": [ 283 ],
+ "I3": [ 281 ],
+ "O": [ 284 ]
+ }
+ },
+ "comb_out_SB_DFFE_Q_3_D_SB_LUT4_O_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ 286 ],
+ "O": [ 283 ]
+ }
+ },
+ "comb_out_SB_DFFE_Q_3_D_SB_LUT4_O_I3_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:64.24-64.56|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 287 ],
+ "CO": [ 281 ],
+ "I0": [ 288 ],
+ "I1": [ 289 ]
+ }
+ },
+ "comb_out_SB_DFFE_Q_4": {
+ "hide_name": 0,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:62.5-67.8|/usr/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 290 ],
+ "E": [ 256 ],
+ "Q": [ 291 ]
+ }
+ },
+ "comb_out_SB_DFFE_Q_4_D_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:64.24-64.56|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 288 ],
+ "I2": [ 289 ],
+ "I3": [ 287 ],
+ "O": [ 290 ]
+ }
+ },
+ "comb_out_SB_DFFE_Q_4_D_SB_LUT4_O_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ 292 ],
+ "O": [ 289 ]
+ }
+ },
+ "comb_out_SB_DFFE_Q_4_D_SB_LUT4_O_I3_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:64.24-64.56|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 293 ],
+ "CO": [ 287 ],
+ "I0": [ 294 ],
+ "I1": [ 295 ]
+ }
+ },
+ "comb_out_SB_DFFE_Q_5": {
+ "hide_name": 0,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:62.5-67.8|/usr/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 296 ],
+ "E": [ 256 ],
+ "Q": [ 297 ]
+ }
+ },
+ "comb_out_SB_DFFE_Q_5_D_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:64.24-64.56|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 294 ],
+ "I2": [ 295 ],
+ "I3": [ 293 ],
+ "O": [ 296 ]
+ }
+ },
+ "comb_out_SB_DFFE_Q_5_D_SB_LUT4_O_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ 298 ],
+ "O": [ 295 ]
+ }
+ },
+ "comb_out_SB_DFFE_Q_5_D_SB_LUT4_O_I3_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:64.24-64.56|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 299 ],
+ "CO": [ 293 ],
+ "I0": [ 300 ],
+ "I1": [ 301 ]
+ }
+ },
+ "comb_out_SB_DFFE_Q_6": {
+ "hide_name": 0,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:62.5-67.8|/usr/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 302 ],
+ "E": [ 256 ],
+ "Q": [ 303 ]
+ }
+ },
+ "comb_out_SB_DFFE_Q_6_D_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:64.24-64.56|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 300 ],
+ "I2": [ 301 ],
+ "I3": [ 299 ],
+ "O": [ 302 ]
+ }
+ },
+ "comb_out_SB_DFFE_Q_6_D_SB_LUT4_O_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ 304 ],
+ "O": [ 301 ]
+ }
+ },
+ "comb_out_SB_DFFE_Q_6_D_SB_LUT4_O_I3_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:64.24-64.56|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 305 ],
+ "CO": [ 299 ],
+ "I0": [ 306 ],
+ "I1": [ 307 ]
+ }
+ },
+ "comb_out_SB_DFFE_Q_7": {
+ "hide_name": 0,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:62.5-67.8|/usr/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 308 ],
+ "E": [ 256 ],
+ "Q": [ 309 ]
+ }
+ },
+ "comb_out_SB_DFFE_Q_7_D_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:64.24-64.56|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 306 ],
+ "I2": [ 307 ],
+ "I3": [ 305 ],
+ "O": [ 308 ]
+ }
+ },
+ "comb_out_SB_DFFE_Q_7_D_SB_LUT4_O_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ 310 ],
+ "O": [ 307 ]
+ }
+ },
+ "comb_out_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:64.24-64.56|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 311 ],
+ "CO": [ 305 ],
+ "I0": [ 312 ],
+ "I1": [ 313 ]
+ }
+ },
+ "comb_out_SB_DFFE_Q_8": {
+ "hide_name": 0,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:62.5-67.8|/usr/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 314 ],
+ "E": [ 256 ],
+ "Q": [ 315 ]
+ }
+ },
+ "comb_out_SB_DFFE_Q_8_D_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:64.24-64.56|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 312 ],
+ "I2": [ 313 ],
+ "I3": [ 311 ],
+ "O": [ 314 ]
+ }
+ },
+ "comb_out_SB_DFFE_Q_8_D_SB_LUT4_O_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ 316 ],
+ "O": [ 313 ]
+ }
+ },
+ "comb_out_SB_DFFE_Q_8_D_SB_LUT4_O_I3_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:64.24-64.56|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 317 ],
+ "CO": [ 311 ],
+ "I0": [ 318 ],
+ "I1": [ 319 ]
+ }
+ },
+ "comb_out_SB_DFFE_Q_9": {
+ "hide_name": 0,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:62.5-67.8|/usr/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 320 ],
+ "E": [ 256 ],
+ "Q": [ 321 ]
+ }
+ },
+ "comb_out_SB_DFFE_Q_9_D_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:64.24-64.56|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 318 ],
+ "I2": [ 319 ],
+ "I3": [ 317 ],
+ "O": [ 320 ]
+ }
+ },
+ "comb_out_SB_DFFE_Q_9_D_SB_LUT4_O_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ 322 ],
+ "O": [ 319 ]
+ }
+ },
+ "comb_out_SB_DFFE_Q_9_D_SB_LUT4_O_I3_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:64.24-64.56|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 264 ],
+ "CO": [ 317 ],
+ "I0": [ 262 ],
+ "I1": [ 263 ]
+ }
+ },
+ "comb_out_SB_DFFE_Q_D_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1001011001101001"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:64.24-64.56|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 323 ],
+ "I1": [ 324 ],
+ "I2": [ "0" ],
+ "I3": [ 325 ],
+ "O": [ 255 ]
+ }
+ },
+ "comb_out_SB_DFFE_Q_D_SB_LUT4_O_I3_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:64.24-64.56|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 273 ],
+ "CO": [ 325 ],
+ "I0": [ 271 ],
+ "I1": [ 272 ]
+ }
+ },
+ "decim_strobe_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000001100000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 326 ],
+ "I2": [ 327 ],
+ "I3": [ 328 ],
+ "O": [ 256 ]
+ }
+ },
+ "decim_strobe_SB_LUT4_O_I3_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000000000001"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 329 ],
+ "I1": [ 330 ],
+ "I2": [ 331 ],
+ "I3": [ 332 ],
+ "O": [ 328 ]
+ }
+ },
+ "decim_strobe_counter_SB_DFFE_Q": {
+ "hide_name": 0,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:47.5-56.8|/usr/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 333 ],
+ "E": [ 334 ],
+ "Q": [ 329 ]
+ }
+ },
+ "decim_strobe_counter_SB_DFFE_Q_1": {
+ "hide_name": 0,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:47.5-56.8|/usr/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 335 ],
+ "E": [ 334 ],
+ "Q": [ 330 ]
+ }
+ },
+ "decim_strobe_counter_SB_DFFE_Q_1_D_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:54.38-54.62|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 330 ],
+ "I3": [ 336 ],
+ "O": [ 335 ]
+ }
+ },
+ "decim_strobe_counter_SB_DFFE_Q_1_D_SB_LUT4_O_I3_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:54.38-54.62|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 337 ],
+ "CO": [ 336 ],
+ "I0": [ "0" ],
+ "I1": [ 331 ]
+ }
+ },
+ "decim_strobe_counter_SB_DFFE_Q_2": {
+ "hide_name": 0,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:47.5-56.8|/usr/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 338 ],
+ "E": [ 334 ],
+ "Q": [ 331 ]
+ }
+ },
+ "decim_strobe_counter_SB_DFFE_Q_2_D_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:54.38-54.62|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 331 ],
+ "I3": [ 337 ],
+ "O": [ 338 ]
+ }
+ },
+ "decim_strobe_counter_SB_DFFE_Q_2_D_SB_LUT4_O_I3_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:54.38-54.62|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 339 ],
+ "CO": [ 337 ],
+ "I0": [ "0" ],
+ "I1": [ 332 ]
+ }
+ },
+ "decim_strobe_counter_SB_DFFE_Q_3": {
+ "hide_name": 0,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:47.5-56.8|/usr/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 340 ],
+ "E": [ 334 ],
+ "Q": [ 332 ]
+ }
+ },
+ "decim_strobe_counter_SB_DFFE_Q_3_D_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:54.38-54.62|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 332 ],
+ "I3": [ 339 ],
+ "O": [ 340 ]
+ }
+ },
+ "decim_strobe_counter_SB_DFFE_Q_3_D_SB_LUT4_O_I3_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:54.38-54.62|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 327 ],
+ "CO": [ 339 ],
+ "I0": [ "0" ],
+ "I1": [ 326 ]
+ }
+ },
+ "decim_strobe_counter_SB_DFFE_Q_4": {
+ "hide_name": 0,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:47.5-56.8|/usr/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 341 ],
+ "E": [ 334 ],
+ "Q": [ 326 ]
+ }
+ },
+ "decim_strobe_counter_SB_DFFE_Q_4_D_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:54.38-54.62|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 326 ],
+ "I3": [ 327 ],
+ "O": [ 341 ]
+ }
+ },
+ "decim_strobe_counter_SB_DFFE_Q_5": {
+ "hide_name": 0,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:47.5-56.8|/usr/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 342 ],
+ "E": [ 334 ],
+ "Q": [ 327 ]
+ }
+ },
+ "decim_strobe_counter_SB_DFFE_Q_5_D_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ 327 ],
+ "O": [ 342 ]
+ }
+ },
+ "decim_strobe_counter_SB_DFFE_Q_D_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:54.38-54.62|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 329 ],
+ "I3": [ 343 ],
+ "O": [ 333 ]
+ }
+ },
+ "decim_strobe_counter_SB_DFFE_Q_D_SB_LUT4_O_I3_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:54.38-54.62|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 336 ],
+ "CO": [ 343 ],
+ "I0": [ "0" ],
+ "I1": [ 330 ]
+ }
+ },
+ "goertzel_strobe_counter_SB_DFFE_Q": {
+ "hide_name": 0,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:82.5-96.8|/usr/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 344 ],
+ "E": [ 256 ],
+ "Q": [ 345 ]
+ }
+ },
+ "goertzel_strobe_counter_SB_DFFE_Q_1": {
+ "hide_name": 0,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:82.5-96.8|/usr/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 346 ],
+ "E": [ 256 ],
+ "Q": [ 347 ]
+ }
+ },
+ "goertzel_strobe_counter_SB_DFFE_Q_1_D_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:84.40-84.67|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 347 ],
+ "I3": [ 348 ],
+ "O": [ 346 ]
+ }
+ },
+ "goertzel_strobe_counter_SB_DFFE_Q_1_D_SB_LUT4_O_I3_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:84.40-84.67|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 349 ],
+ "CO": [ 348 ],
+ "I0": [ "0" ],
+ "I1": [ 350 ]
+ }
+ },
+ "goertzel_strobe_counter_SB_DFFE_Q_2": {
+ "hide_name": 0,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:82.5-96.8|/usr/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 351 ],
+ "E": [ 256 ],
+ "Q": [ 350 ]
+ }
+ },
+ "goertzel_strobe_counter_SB_DFFE_Q_2_D_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:84.40-84.67|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 350 ],
+ "I3": [ 349 ],
+ "O": [ 351 ]
+ }
+ },
+ "goertzel_strobe_counter_SB_DFFE_Q_2_D_SB_LUT4_O_I3_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:84.40-84.67|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 352 ],
+ "CO": [ 349 ],
+ "I0": [ "0" ],
+ "I1": [ 353 ]
+ }
+ },
+ "goertzel_strobe_counter_SB_DFFE_Q_3": {
+ "hide_name": 0,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:82.5-96.8|/usr/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 354 ],
+ "E": [ 256 ],
+ "Q": [ 353 ]
+ }
+ },
+ "goertzel_strobe_counter_SB_DFFE_Q_3_D_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:84.40-84.67|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 353 ],
+ "I3": [ 352 ],
+ "O": [ 354 ]
+ }
+ },
+ "goertzel_strobe_counter_SB_DFFE_Q_3_D_SB_LUT4_O_I3_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:84.40-84.67|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 355 ],
+ "CO": [ 352 ],
+ "I0": [ "0" ],
+ "I1": [ 356 ]
+ }
+ },
+ "goertzel_strobe_counter_SB_DFFE_Q_4": {
+ "hide_name": 0,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:82.5-96.8|/usr/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 357 ],
+ "E": [ 256 ],
+ "Q": [ 356 ]
+ }
+ },
+ "goertzel_strobe_counter_SB_DFFE_Q_4_D_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:84.40-84.67|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 356 ],
+ "I3": [ 355 ],
+ "O": [ 357 ]
+ }
+ },
+ "goertzel_strobe_counter_SB_DFFE_Q_4_D_SB_LUT4_O_I3_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:84.40-84.67|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 358 ],
+ "CO": [ 355 ],
+ "I0": [ "0" ],
+ "I1": [ 359 ]
+ }
+ },
+ "goertzel_strobe_counter_SB_DFFE_Q_5": {
+ "hide_name": 0,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:82.5-96.8|/usr/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 360 ],
+ "E": [ 256 ],
+ "Q": [ 359 ]
+ }
+ },
+ "goertzel_strobe_counter_SB_DFFE_Q_5_D_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:84.40-84.67|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 359 ],
+ "I3": [ 358 ],
+ "O": [ 360 ]
+ }
+ },
+ "goertzel_strobe_counter_SB_DFFE_Q_5_D_SB_LUT4_O_I3_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:84.40-84.67|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 361 ],
+ "CO": [ 358 ],
+ "I0": [ "0" ],
+ "I1": [ 362 ]
+ }
+ },
+ "goertzel_strobe_counter_SB_DFFE_Q_6": {
+ "hide_name": 0,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:82.5-96.8|/usr/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 363 ],
+ "E": [ 256 ],
+ "Q": [ 362 ]
+ }
+ },
+ "goertzel_strobe_counter_SB_DFFE_Q_6_D_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:84.40-84.67|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 362 ],
+ "I3": [ 361 ],
+ "O": [ 363 ]
+ }
+ },
+ "goertzel_strobe_counter_SB_DFFE_Q_6_D_SB_LUT4_O_I3_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:84.40-84.67|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 364 ],
+ "CO": [ 361 ],
+ "I0": [ "0" ],
+ "I1": [ 365 ]
+ }
+ },
+ "goertzel_strobe_counter_SB_DFFE_Q_7": {
+ "hide_name": 0,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:82.5-96.8|/usr/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 366 ],
+ "E": [ 256 ],
+ "Q": [ 365 ]
+ }
+ },
+ "goertzel_strobe_counter_SB_DFFE_Q_7_D_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:84.40-84.67|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 365 ],
+ "I3": [ 364 ],
+ "O": [ 366 ]
+ }
+ },
+ "goertzel_strobe_counter_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:84.40-84.67|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 367 ],
+ "CO": [ 364 ],
+ "I0": [ "0" ],
+ "I1": [ 368 ]
+ }
+ },
+ "goertzel_strobe_counter_SB_DFFE_Q_8": {
+ "hide_name": 0,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:82.5-96.8|/usr/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 369 ],
+ "E": [ 256 ],
+ "Q": [ 368 ]
+ }
+ },
+ "goertzel_strobe_counter_SB_DFFE_Q_8_D_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:84.40-84.67|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 368 ],
+ "I3": [ 367 ],
+ "O": [ 369 ]
+ }
+ },
+ "goertzel_strobe_counter_SB_DFFE_Q_9": {
+ "hide_name": 0,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:82.5-96.8|/usr/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 370 ],
+ "E": [ 256 ],
+ "Q": [ 367 ]
+ }
+ },
+ "goertzel_strobe_counter_SB_DFFE_Q_9_D_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ 367 ],
+ "O": [ 370 ]
+ }
+ },
+ "goertzel_strobe_counter_SB_DFFE_Q_D_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:84.40-84.67|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 345 ],
+ "I3": [ 371 ],
+ "O": [ 344 ]
+ }
+ },
+ "goertzel_strobe_counter_SB_DFFE_Q_D_SB_LUT4_O_I3_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:84.40-84.67|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 348 ],
+ "CO": [ 371 ],
+ "I0": [ "0" ],
+ "I1": [ 347 ]
+ }
+ },
+ "goertzel_strobe_counter_SB_LUT4_I0": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0001000000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 368 ],
+ "I1": [ 367 ],
+ "I2": [ 372 ],
+ "I3": [ 373 ],
+ "O": [ 374 ]
+ }
+ },
+ "goertzel_strobe_counter_SB_LUT4_I0_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000000000001"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 356 ],
+ "I1": [ 359 ],
+ "I2": [ 362 ],
+ "I3": [ 365 ],
+ "O": [ 372 ]
+ }
+ },
+ "goertzel_strobe_counter_SB_LUT4_I0_2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000000000001"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 345 ],
+ "I1": [ 347 ],
+ "I2": [ 350 ],
+ "I3": [ 353 ],
+ "O": [ 373 ]
+ }
+ },
+ "integrator_SB_DFFE_Q": {
+ "hide_name": 0,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:47.5-56.8|/usr/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 375 ],
+ "E": [ 334 ],
+ "Q": [ 324 ]
+ }
+ },
+ "integrator_SB_DFFE_Q_1": {
+ "hide_name": 0,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:47.5-56.8|/usr/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 376 ],
+ "E": [ 334 ],
+ "Q": [ 271 ]
+ }
+ },
+ "integrator_SB_DFFE_Q_10": {
+ "hide_name": 0,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:47.5-56.8|/usr/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 377 ],
+ "E": [ 334 ],
+ "Q": [ 262 ]
+ }
+ },
+ "integrator_SB_DFFE_Q_10_D_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1010010101011010"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:50.31-50.45|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 5 ],
+ "I1": [ "0" ],
+ "I2": [ 262 ],
+ "I3": [ 266 ],
+ "O": [ 377 ]
+ }
+ },
+ "integrator_SB_DFFE_Q_11": {
+ "hide_name": 0,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:47.5-56.8|/usr/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 378 ],
+ "E": [ 334 ],
+ "Q": [ 266 ]
+ }
+ },
+ "integrator_SB_DFFE_Q_11_D_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ 266 ],
+ "O": [ 378 ]
+ }
+ },
+ "integrator_SB_DFFE_Q_1_D_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111110000110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 5 ],
+ "I2": [ 379 ],
+ "I3": [ 380 ],
+ "O": [ 376 ]
+ }
+ },
+ "integrator_SB_DFFE_Q_1_D_SB_LUT4_O_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:50.31-50.45|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 271 ],
+ "I3": [ 381 ],
+ "O": [ 379 ]
+ }
+ },
+ "integrator_SB_DFFE_Q_1_D_SB_LUT4_O_I2_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:52.31-52.45|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 271 ],
+ "I2": [ "1" ],
+ "I3": [ 382 ],
+ "O": [ 380 ]
+ }
+ },
+ "integrator_SB_DFFE_Q_1_D_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:52.31-52.45|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 383 ],
+ "CO": [ 382 ],
+ "I0": [ 276 ],
+ "I1": [ "1" ]
+ }
+ },
+ "integrator_SB_DFFE_Q_2": {
+ "hide_name": 0,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:47.5-56.8|/usr/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 384 ],
+ "E": [ 334 ],
+ "Q": [ 276 ]
+ }
+ },
+ "integrator_SB_DFFE_Q_2_D_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111110000110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 5 ],
+ "I2": [ 385 ],
+ "I3": [ 386 ],
+ "O": [ 384 ]
+ }
+ },
+ "integrator_SB_DFFE_Q_2_D_SB_LUT4_O_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:50.31-50.45|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 276 ],
+ "I3": [ 387 ],
+ "O": [ 385 ]
+ }
+ },
+ "integrator_SB_DFFE_Q_2_D_SB_LUT4_O_I2_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:52.31-52.45|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 276 ],
+ "I2": [ "1" ],
+ "I3": [ 383 ],
+ "O": [ 386 ]
+ }
+ },
+ "integrator_SB_DFFE_Q_2_D_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:52.31-52.45|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 388 ],
+ "CO": [ 383 ],
+ "I0": [ 282 ],
+ "I1": [ "1" ]
+ }
+ },
+ "integrator_SB_DFFE_Q_2_D_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:50.31-50.45|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 389 ],
+ "CO": [ 387 ],
+ "I0": [ "0" ],
+ "I1": [ 282 ]
+ }
+ },
+ "integrator_SB_DFFE_Q_3": {
+ "hide_name": 0,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:47.5-56.8|/usr/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 390 ],
+ "E": [ 334 ],
+ "Q": [ 282 ]
+ }
+ },
+ "integrator_SB_DFFE_Q_3_D_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111110000110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 5 ],
+ "I2": [ 391 ],
+ "I3": [ 392 ],
+ "O": [ 390 ]
+ }
+ },
+ "integrator_SB_DFFE_Q_3_D_SB_LUT4_O_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:50.31-50.45|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 282 ],
+ "I3": [ 389 ],
+ "O": [ 391 ]
+ }
+ },
+ "integrator_SB_DFFE_Q_3_D_SB_LUT4_O_I2_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:52.31-52.45|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 282 ],
+ "I2": [ "1" ],
+ "I3": [ 388 ],
+ "O": [ 392 ]
+ }
+ },
+ "integrator_SB_DFFE_Q_3_D_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:52.31-52.45|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 393 ],
+ "CO": [ 388 ],
+ "I0": [ 288 ],
+ "I1": [ "1" ]
+ }
+ },
+ "integrator_SB_DFFE_Q_3_D_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:50.31-50.45|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 394 ],
+ "CO": [ 389 ],
+ "I0": [ "0" ],
+ "I1": [ 288 ]
+ }
+ },
+ "integrator_SB_DFFE_Q_4": {
+ "hide_name": 0,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:47.5-56.8|/usr/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 395 ],
+ "E": [ 334 ],
+ "Q": [ 288 ]
+ }
+ },
+ "integrator_SB_DFFE_Q_4_D_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111110000110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 5 ],
+ "I2": [ 396 ],
+ "I3": [ 397 ],
+ "O": [ 395 ]
+ }
+ },
+ "integrator_SB_DFFE_Q_4_D_SB_LUT4_O_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:50.31-50.45|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 288 ],
+ "I3": [ 394 ],
+ "O": [ 396 ]
+ }
+ },
+ "integrator_SB_DFFE_Q_4_D_SB_LUT4_O_I2_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:52.31-52.45|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 288 ],
+ "I2": [ "1" ],
+ "I3": [ 393 ],
+ "O": [ 397 ]
+ }
+ },
+ "integrator_SB_DFFE_Q_4_D_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:52.31-52.45|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 398 ],
+ "CO": [ 393 ],
+ "I0": [ 294 ],
+ "I1": [ "1" ]
+ }
+ },
+ "integrator_SB_DFFE_Q_4_D_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:50.31-50.45|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 399 ],
+ "CO": [ 394 ],
+ "I0": [ "0" ],
+ "I1": [ 294 ]
+ }
+ },
+ "integrator_SB_DFFE_Q_5": {
+ "hide_name": 0,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:47.5-56.8|/usr/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 400 ],
+ "E": [ 334 ],
+ "Q": [ 294 ]
+ }
+ },
+ "integrator_SB_DFFE_Q_5_D_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111110000110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 5 ],
+ "I2": [ 401 ],
+ "I3": [ 402 ],
+ "O": [ 400 ]
+ }
+ },
+ "integrator_SB_DFFE_Q_5_D_SB_LUT4_O_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:50.31-50.45|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 294 ],
+ "I3": [ 399 ],
+ "O": [ 401 ]
+ }
+ },
+ "integrator_SB_DFFE_Q_5_D_SB_LUT4_O_I2_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:52.31-52.45|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 294 ],
+ "I2": [ "1" ],
+ "I3": [ 398 ],
+ "O": [ 402 ]
+ }
+ },
+ "integrator_SB_DFFE_Q_5_D_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:52.31-52.45|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 403 ],
+ "CO": [ 398 ],
+ "I0": [ 300 ],
+ "I1": [ "1" ]
+ }
+ },
+ "integrator_SB_DFFE_Q_5_D_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:50.31-50.45|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 404 ],
+ "CO": [ 399 ],
+ "I0": [ "0" ],
+ "I1": [ 300 ]
+ }
+ },
+ "integrator_SB_DFFE_Q_6": {
+ "hide_name": 0,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:47.5-56.8|/usr/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 405 ],
+ "E": [ 334 ],
+ "Q": [ 300 ]
+ }
+ },
+ "integrator_SB_DFFE_Q_6_D_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111110000110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 5 ],
+ "I2": [ 406 ],
+ "I3": [ 407 ],
+ "O": [ 405 ]
+ }
+ },
+ "integrator_SB_DFFE_Q_6_D_SB_LUT4_O_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:50.31-50.45|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 300 ],
+ "I3": [ 404 ],
+ "O": [ 406 ]
+ }
+ },
+ "integrator_SB_DFFE_Q_6_D_SB_LUT4_O_I2_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:52.31-52.45|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 300 ],
+ "I2": [ "1" ],
+ "I3": [ 403 ],
+ "O": [ 407 ]
+ }
+ },
+ "integrator_SB_DFFE_Q_6_D_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:52.31-52.45|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 408 ],
+ "CO": [ 403 ],
+ "I0": [ 306 ],
+ "I1": [ "1" ]
+ }
+ },
+ "integrator_SB_DFFE_Q_6_D_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:50.31-50.45|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 409 ],
+ "CO": [ 404 ],
+ "I0": [ "0" ],
+ "I1": [ 306 ]
+ }
+ },
+ "integrator_SB_DFFE_Q_7": {
+ "hide_name": 0,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:47.5-56.8|/usr/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 410 ],
+ "E": [ 334 ],
+ "Q": [ 306 ]
+ }
+ },
+ "integrator_SB_DFFE_Q_7_D_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111110000110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 5 ],
+ "I2": [ 411 ],
+ "I3": [ 412 ],
+ "O": [ 410 ]
+ }
+ },
+ "integrator_SB_DFFE_Q_7_D_SB_LUT4_O_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:50.31-50.45|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 306 ],
+ "I3": [ 409 ],
+ "O": [ 411 ]
+ }
+ },
+ "integrator_SB_DFFE_Q_7_D_SB_LUT4_O_I2_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:52.31-52.45|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 306 ],
+ "I2": [ "1" ],
+ "I3": [ 408 ],
+ "O": [ 412 ]
+ }
+ },
+ "integrator_SB_DFFE_Q_7_D_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:52.31-52.45|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 413 ],
+ "CO": [ 408 ],
+ "I0": [ 312 ],
+ "I1": [ "1" ]
+ }
+ },
+ "integrator_SB_DFFE_Q_7_D_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:50.31-50.45|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 414 ],
+ "CO": [ 409 ],
+ "I0": [ "0" ],
+ "I1": [ 312 ]
+ }
+ },
+ "integrator_SB_DFFE_Q_8": {
+ "hide_name": 0,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:47.5-56.8|/usr/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 415 ],
+ "E": [ 334 ],
+ "Q": [ 312 ]
+ }
+ },
+ "integrator_SB_DFFE_Q_8_D_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111110000110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 5 ],
+ "I2": [ 416 ],
+ "I3": [ 417 ],
+ "O": [ 415 ]
+ }
+ },
+ "integrator_SB_DFFE_Q_8_D_SB_LUT4_O_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:50.31-50.45|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 312 ],
+ "I3": [ 414 ],
+ "O": [ 416 ]
+ }
+ },
+ "integrator_SB_DFFE_Q_8_D_SB_LUT4_O_I2_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:52.31-52.45|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 312 ],
+ "I2": [ "1" ],
+ "I3": [ 413 ],
+ "O": [ 417 ]
+ }
+ },
+ "integrator_SB_DFFE_Q_8_D_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:52.31-52.45|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 418 ],
+ "CO": [ 413 ],
+ "I0": [ 318 ],
+ "I1": [ "1" ]
+ }
+ },
+ "integrator_SB_DFFE_Q_8_D_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:50.31-50.45|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 419 ],
+ "CO": [ 414 ],
+ "I0": [ "0" ],
+ "I1": [ 318 ]
+ }
+ },
+ "integrator_SB_DFFE_Q_9": {
+ "hide_name": 0,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:47.5-56.8|/usr/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 420 ],
+ "E": [ 334 ],
+ "Q": [ 318 ]
+ }
+ },
+ "integrator_SB_DFFE_Q_9_D_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111110000110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 5 ],
+ "I2": [ 421 ],
+ "I3": [ 422 ],
+ "O": [ 420 ]
+ }
+ },
+ "integrator_SB_DFFE_Q_9_D_SB_LUT4_O_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:50.31-50.45|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 318 ],
+ "I3": [ 419 ],
+ "O": [ 421 ]
+ }
+ },
+ "integrator_SB_DFFE_Q_9_D_SB_LUT4_O_I2_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:52.31-52.45|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 318 ],
+ "I2": [ "1" ],
+ "I3": [ 418 ],
+ "O": [ 422 ]
+ }
+ },
+ "integrator_SB_DFFE_Q_9_D_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:52.31-52.45|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 266 ],
+ "CO": [ 418 ],
+ "I0": [ 262 ],
+ "I1": [ "1" ]
+ }
+ },
+ "integrator_SB_DFFE_Q_9_D_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:50.31-50.45|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 266 ],
+ "CO": [ 419 ],
+ "I0": [ "0" ],
+ "I1": [ 262 ]
+ }
+ },
+ "integrator_SB_DFFE_Q_D_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1000110111011000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:50.31-50.45|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 5 ],
+ "I1": [ 423 ],
+ "I2": [ 324 ],
+ "I3": [ 424 ],
+ "O": [ 375 ]
+ }
+ },
+ "integrator_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:52.31-52.45|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 324 ],
+ "I2": [ "1" ],
+ "I3": [ 425 ],
+ "O": [ 423 ]
+ }
+ },
+ "integrator_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:52.31-52.45|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 382 ],
+ "CO": [ 425 ],
+ "I0": [ 271 ],
+ "I1": [ "1" ]
+ }
+ },
+ "integrator_SB_DFFE_Q_D_SB_LUT4_O_I3_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:50.31-50.45|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 381 ],
+ "CO": [ 424 ],
+ "I0": [ "0" ],
+ "I1": [ 271 ]
+ }
+ },
+ "integrator_SB_DFFE_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:50.31-50.45|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 387 ],
+ "CO": [ 381 ],
+ "I0": [ "0" ],
+ "I1": [ 276 ]
+ }
+ },
+ "led1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ 426 ],
+ "O": [ 6 ]
+ }
+ },
+ "led2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ 427 ],
+ "O": [ 7 ]
+ }
+ },
+ "led3_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ 428 ],
+ "O": [ 8 ]
+ }
+ },
+ "led4_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ 429 ],
+ "O": [ 9 ]
+ }
+ },
+ "led5_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ 430 ],
+ "O": [ 10 ]
+ }
+ },
+ "led6_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ 431 ],
+ "O": [ 11 ]
+ }
+ },
+ "led7_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ 432 ],
+ "O": [ 12 ]
+ }
+ },
+ "led8_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ 433 ],
+ "O": [ 13 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q": {
+ "hide_name": 0,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:82.5-96.8|/usr/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 434 ],
+ "E": [ 435 ],
+ "Q": [ 433 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_1": {
+ "hide_name": 0,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:82.5-96.8|/usr/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 436 ],
+ "E": [ 435 ],
+ "Q": [ 432 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_1_D_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 437 ],
+ "I2": [ 438 ],
+ "I3": [ 439 ],
+ "O": [ 436 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_1_D_SB_LUT4_O_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 440 ],
+ "I2": [ 441 ],
+ "I3": [ 442 ],
+ "O": [ 437 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_1_D_SB_LUT4_O_I1_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100000011111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 443 ],
+ "I2": [ 444 ],
+ "I3": [ 445 ],
+ "O": [ 438 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_1_D_SB_LUT4_O_I3_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 446 ],
+ "CO": [ 439 ],
+ "I0": [ 447 ],
+ "I1": [ 448 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_2": {
+ "hide_name": 0,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:82.5-96.8|/usr/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 449 ],
+ "E": [ 435 ],
+ "Q": [ 431 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_2_D_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 447 ],
+ "I2": [ 448 ],
+ "I3": [ 446 ],
+ "O": [ 449 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_2_D_SB_LUT4_O_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 443 ],
+ "I2": [ 444 ],
+ "I3": [ 445 ],
+ "O": [ 447 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_2_D_SB_LUT4_O_I1_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100000011111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 450 ],
+ "I2": [ 451 ],
+ "I3": [ 452 ],
+ "O": [ 448 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_2_D_SB_LUT4_O_I1_SB_LUT4_O_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011000011110011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 453 ],
+ "I2": [ 454 ],
+ "I3": [ 455 ],
+ "O": [ 444 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_2_D_SB_LUT4_O_I1_SB_LUT4_O_I1_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 456 ],
+ "I2": [ 457 ],
+ "I3": [ 458 ],
+ "O": [ 445 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_2_D_SB_LUT4_O_I3_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 459 ],
+ "CO": [ 446 ],
+ "I0": [ 460 ],
+ "I1": [ 461 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_3": {
+ "hide_name": 0,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:82.5-96.8|/usr/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 462 ],
+ "E": [ 435 ],
+ "Q": [ 430 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_3_D_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 460 ],
+ "I2": [ 461 ],
+ "I3": [ 459 ],
+ "O": [ 462 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_3_D_SB_LUT4_O_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 450 ],
+ "I2": [ 451 ],
+ "I3": [ 452 ],
+ "O": [ 460 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_3_D_SB_LUT4_O_I1_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100000011111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 463 ],
+ "I2": [ 464 ],
+ "I3": [ 465 ],
+ "O": [ 461 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_3_D_SB_LUT4_O_I1_SB_LUT4_O_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011000011110011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 466 ],
+ "I2": [ 467 ],
+ "I3": [ 468 ],
+ "O": [ 451 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_3_D_SB_LUT4_O_I1_SB_LUT4_O_I1_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 453 ],
+ "I2": [ 454 ],
+ "I3": [ 455 ],
+ "O": [ 452 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_3_D_SB_LUT4_O_I3_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 469 ],
+ "CO": [ 459 ],
+ "I0": [ 470 ],
+ "I1": [ 471 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_4": {
+ "hide_name": 0,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:82.5-96.8|/usr/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 472 ],
+ "E": [ 435 ],
+ "Q": [ 429 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_4_D_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 470 ],
+ "I2": [ 471 ],
+ "I3": [ 469 ],
+ "O": [ 472 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_4_D_SB_LUT4_O_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 463 ],
+ "I2": [ 464 ],
+ "I3": [ 465 ],
+ "O": [ 470 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_4_D_SB_LUT4_O_I1_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100000011111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 473 ],
+ "I2": [ 474 ],
+ "I3": [ 475 ],
+ "O": [ 471 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_4_D_SB_LUT4_O_I1_SB_LUT4_O_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011000011110011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 476 ],
+ "I2": [ 477 ],
+ "I3": [ 478 ],
+ "O": [ 464 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_4_D_SB_LUT4_O_I1_SB_LUT4_O_I1_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 466 ],
+ "I2": [ 467 ],
+ "I3": [ 468 ],
+ "O": [ 465 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_4_D_SB_LUT4_O_I3_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 479 ],
+ "CO": [ 469 ],
+ "I0": [ 480 ],
+ "I1": [ 481 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_5": {
+ "hide_name": 0,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:82.5-96.8|/usr/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 482 ],
+ "E": [ 435 ],
+ "Q": [ 428 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_5_D_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 480 ],
+ "I2": [ 481 ],
+ "I3": [ 479 ],
+ "O": [ 482 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_5_D_SB_LUT4_O_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 473 ],
+ "I2": [ 474 ],
+ "I3": [ 475 ],
+ "O": [ 480 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_5_D_SB_LUT4_O_I1_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100000011111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 483 ],
+ "I2": [ 484 ],
+ "I3": [ 485 ],
+ "O": [ 481 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_5_D_SB_LUT4_O_I3_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 486 ],
+ "CO": [ 479 ],
+ "I0": [ 487 ],
+ "I1": [ 488 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_6": {
+ "hide_name": 0,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:82.5-96.8|/usr/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 489 ],
+ "E": [ 435 ],
+ "Q": [ 427 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_6_D_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 487 ],
+ "I2": [ 488 ],
+ "I3": [ 486 ],
+ "O": [ 489 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_6_D_SB_LUT4_O_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 483 ],
+ "I2": [ 484 ],
+ "I3": [ 485 ],
+ "O": [ 487 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_6_D_SB_LUT4_O_I1_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100000011111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 490 ],
+ "I2": [ 491 ],
+ "I3": [ 492 ],
+ "O": [ 488 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_6_D_SB_LUT4_O_I1_SB_LUT4_O_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 493 ],
+ "I3": [ 494 ],
+ "O": [ 483 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_6_D_SB_LUT4_O_I1_SB_LUT4_O_I1_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011000011110011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 495 ],
+ "I2": [ 496 ],
+ "I3": [ 497 ],
+ "O": [ 484 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_6_D_SB_LUT4_O_I1_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1110100001111110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 498 ],
+ "I1": [ 499 ],
+ "I2": [ 500 ],
+ "I3": [ 501 ],
+ "O": [ 493 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_6_D_SB_LUT4_O_I1_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 502 ],
+ "I3": [ 503 ],
+ "O": [ 494 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_6_D_SB_LUT4_O_I1_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000111111110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 502 ],
+ "I3": [ 503 ],
+ "O": [ 504 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_6_D_SB_LUT4_O_I1_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000000001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 505 ],
+ "I3": [ 506 ],
+ "O": [ 507 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_6_D_SB_LUT4_O_I1_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 508 ],
+ "I2": [ 509 ],
+ "I3": [ 510 ],
+ "O": [ 511 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_6_D_SB_LUT4_O_I1_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 498 ],
+ "I1": [ 499 ],
+ "I2": [ 500 ],
+ "I3": [ 501 ],
+ "O": [ 502 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_6_D_SB_LUT4_O_I1_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 512 ],
+ "I2": [ 513 ],
+ "I3": [ 514 ],
+ "O": [ 503 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_6_D_SB_LUT4_O_I1_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_1_I3_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0111100010000111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 515 ],
+ "I1": [ 516 ],
+ "I2": [ 513 ],
+ "I3": [ 517 ],
+ "O": [ 514 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_6_D_SB_LUT4_O_I3_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 518 ],
+ "CO": [ 486 ],
+ "I0": [ 519 ],
+ "I1": [ 520 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7": {
+ "hide_name": 0,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:82.5-96.8|/usr/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 521 ],
+ "E": [ 435 ],
+ "Q": [ 426 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 519 ],
+ "I2": [ 520 ],
+ "I3": [ 518 ],
+ "O": [ 521 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 490 ],
+ "I2": [ 491 ],
+ "I3": [ 492 ],
+ "O": [ 519 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I1_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 522 ],
+ "I3": [ 523 ],
+ "O": [ 520 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I1_SB_LUT4_O_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 524 ],
+ "I3": [ 525 ],
+ "O": [ 490 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I1_SB_LUT4_O_I1_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011000011110011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 526 ],
+ "I2": [ 527 ],
+ "I3": [ 528 ],
+ "O": [ 491 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I1_SB_LUT4_O_I1_SB_LUT4_O_2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 495 ],
+ "I2": [ 496 ],
+ "I3": [ 497 ],
+ "O": [ 492 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I1_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 529 ],
+ "I2": [ 530 ],
+ "I3": [ 531 ],
+ "O": [ 524 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I1_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 532 ],
+ "I3": [ 533 ],
+ "O": [ 525 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I1_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100000011110101"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 534 ],
+ "I1": [ 535 ],
+ "I2": [ 536 ],
+ "I3": [ 537 ],
+ "O": [ 538 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I1_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 539 ],
+ "I3": [ 540 ],
+ "O": [ 530 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I1_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 516 ],
+ "I3": [ 540 ],
+ "O": [ 534 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I1_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_O_I0_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000011001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 541 ],
+ "I2": [ 535 ],
+ "I3": [ 512 ],
+ "O": [ 536 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I1_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_O_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0001010100111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 539 ],
+ "I1": [ 542 ],
+ "I2": [ 529 ],
+ "I3": [ 516 ],
+ "O": [ 512 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I1_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_O_I1_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 529 ],
+ "I3": [ 516 ],
+ "O": [ 535 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I1_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_O_I1_SB_LUT4_O_2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 539 ],
+ "I3": [ 542 ],
+ "O": [ 541 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 543 ],
+ "CO": [ 518 ],
+ "I0": [ 544 ],
+ "I1": [ 545 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 546 ],
+ "CO": [ 543 ],
+ "I0": [ 547 ],
+ "I1": [ 548 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ "0" ],
+ "CO": [ 546 ],
+ "I0": [ 549 ],
+ "I1": [ 550 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000111111110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 551 ],
+ "I3": [ 552 ],
+ "O": [ 549 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111111100000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 516 ],
+ "I2": [ 540 ],
+ "I3": [ 553 ],
+ "O": [ 550 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I3_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000100001110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 554 ],
+ "I1": [ 537 ],
+ "I2": [ 555 ],
+ "I3": [ 556 ],
+ "O": [ 553 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I3_SB_LUT4_O_I0_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 540 ],
+ "I3": [ 557 ],
+ "O": [ 554 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I3_SB_LUT4_O_I0_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100110000001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 537 ],
+ "I2": [ 558 ],
+ "I3": [ 559 ],
+ "O": [ 560 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I3_SB_LUT4_O_I0_SB_LUT4_O_1_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0001000011100000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 561 ],
+ "I1": [ 516 ],
+ "I2": [ 540 ],
+ "I3": [ 562 ],
+ "O": [ 558 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I3_SB_LUT4_O_I0_SB_LUT4_O_1_I2_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0111101110001011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 529 ],
+ "I1": [ 516 ],
+ "I2": [ 540 ],
+ "I3": [ 563 ],
+ "O": [ 559 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I3_SB_LUT4_O_I0_SB_LUT4_O_1_I2_SB_LUT4_O_I3_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000111111110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 564 ],
+ "I3": [ 562 ],
+ "O": [ 563 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I3_SB_LUT4_O_I0_SB_LUT4_O_1_I2_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 540 ],
+ "I3": [ 563 ],
+ "O": [ 537 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I3_SB_LUT4_O_I0_SB_LUT4_O_1_I2_SB_LUT4_O_I3_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 561 ],
+ "I3": [ 516 ],
+ "O": [ 564 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I3_SB_LUT4_O_I0_SB_LUT4_O_1_I2_SB_LUT4_O_I3_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 565 ],
+ "I3": [ 542 ],
+ "O": [ 562 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I3_SB_LUT4_O_I0_SB_LUT4_O_2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100001100111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 566 ],
+ "I2": [ 567 ],
+ "I3": [ 568 ],
+ "O": [ 569 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I3_SB_LUT4_O_I0_SB_LUT4_O_I3_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 561 ],
+ "I3": [ 516 ],
+ "O": [ 557 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0100000011100000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 535 ],
+ "I1": [ 542 ],
+ "I2": [ 540 ],
+ "I3": [ 557 ],
+ "O": [ 555 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000111111110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 560 ],
+ "I3": [ 569 ],
+ "O": [ 556 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 570 ],
+ "I3": [ 571 ],
+ "O": [ 547 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000000001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 551 ],
+ "I3": [ 552 ],
+ "O": [ 548 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1110111100001110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 554 ],
+ "I1": [ 537 ],
+ "I2": [ 560 ],
+ "I3": [ 569 ],
+ "O": [ 551 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100001100111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 540 ],
+ "I2": [ 572 ],
+ "I3": [ 573 ],
+ "O": [ 552 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_I0_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 522 ],
+ "I3": [ 523 ],
+ "O": [ 544 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_I0_SB_LUT4_O_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011000011110011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 574 ],
+ "I2": [ 575 ],
+ "I3": [ 576 ],
+ "O": [ 522 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_I0_SB_LUT4_O_I2_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 526 ],
+ "I2": [ 527 ],
+ "I3": [ 528 ],
+ "O": [ 523 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_I0_SB_LUT4_O_I2_SB_LUT4_O_1_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000111111110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 524 ],
+ "I3": [ 525 ],
+ "O": [ 526 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_I0_SB_LUT4_O_I2_SB_LUT4_O_1_I1_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000110011001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 577 ],
+ "I2": [ 578 ],
+ "I3": [ 579 ],
+ "O": [ 527 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_I0_SB_LUT4_O_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100001100111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 504 ],
+ "I2": [ 507 ],
+ "I3": [ 511 ],
+ "O": [ 528 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_I0_SB_LUT4_O_I2_SB_LUT4_O_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000000111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 529 ],
+ "I2": [ 530 ],
+ "I3": [ 538 ],
+ "O": [ 574 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_I0_SB_LUT4_O_I2_SB_LUT4_O_I1_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111001100110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 580 ],
+ "I2": [ 581 ],
+ "I3": [ 582 ],
+ "O": [ 575 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_I0_SB_LUT4_O_I2_SB_LUT4_O_I1_SB_LUT4_O_2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 577 ],
+ "I2": [ 578 ],
+ "I3": [ 579 ],
+ "O": [ 576 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 570 ],
+ "I3": [ 571 ],
+ "O": [ 545 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100000011111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 540 ],
+ "I2": [ 572 ],
+ "I3": [ 573 ],
+ "O": [ 570 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 574 ],
+ "I2": [ 575 ],
+ "I3": [ 576 ],
+ "O": [ 571 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000001100111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 566 ],
+ "I2": [ 567 ],
+ "I3": [ 568 ],
+ "O": [ 572 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100001100111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 580 ],
+ "I2": [ 581 ],
+ "I3": [ 582 ],
+ "O": [ 573 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_O_1_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 512 ],
+ "I1": [ 583 ],
+ "I2": [ 584 ],
+ "I3": [ 585 ],
+ "O": [ 580 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_O_1_I1_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100001100111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 529 ],
+ "I2": [ 530 ],
+ "I3": [ 538 ],
+ "O": [ 581 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 516 ],
+ "I2": [ 537 ],
+ "I3": [ 586 ],
+ "O": [ 582 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_O_1_I1_SB_LUT4_O_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000011000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 541 ],
+ "I2": [ 535 ],
+ "I3": [ 512 ],
+ "O": [ 583 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_O_1_I1_SB_LUT4_O_I1_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110110010011100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 561 ],
+ "I1": [ 542 ],
+ "I2": [ 516 ],
+ "I3": [ 537 ],
+ "O": [ 585 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_O_1_I1_SB_LUT4_O_I2_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000110000000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 512 ],
+ "I2": [ 583 ],
+ "I3": [ 584 ],
+ "O": [ 533 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_O_1_I1_SB_LUT4_O_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1000011101111000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 587 ],
+ "I1": [ 516 ],
+ "I2": [ 541 ],
+ "I3": [ 588 ],
+ "O": [ 584 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_O_1_I1_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000011101111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 587 ],
+ "I1": [ 516 ],
+ "I2": [ 541 ],
+ "I3": [ 588 ],
+ "O": [ 589 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_O_1_I1_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111010100111001"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 539 ],
+ "I1": [ 529 ],
+ "I2": [ 557 ],
+ "I3": [ 563 ],
+ "O": [ 590 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_O_1_I1_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 529 ],
+ "I3": [ 557 ],
+ "O": [ 588 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_O_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100000011110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 529 ],
+ "I2": [ 516 ],
+ "I3": [ 540 ],
+ "O": [ 566 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_O_I1_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011000011111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 529 ],
+ "I2": [ 540 ],
+ "I3": [ 591 ],
+ "O": [ 567 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_O_I1_SB_LUT4_O_1_I3_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000001101111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 561 ],
+ "I1": [ 542 ],
+ "I2": [ 591 ],
+ "I3": [ 592 ],
+ "O": [ 578 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_O_I1_SB_LUT4_O_1_I3_SB_LUT4_I2_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000111111110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 532 ],
+ "I3": [ 533 ],
+ "O": [ 577 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_O_I1_SB_LUT4_O_1_I3_SB_LUT4_I2_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 505 ],
+ "I3": [ 506 ],
+ "O": [ 579 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_O_I1_SB_LUT4_O_1_I3_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011111111000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 540 ],
+ "I2": [ 593 ],
+ "I3": [ 594 ],
+ "O": [ 505 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_O_I1_SB_LUT4_O_1_I3_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011000011001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 512 ],
+ "I2": [ 513 ],
+ "I3": [ 514 ],
+ "O": [ 506 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_O_I1_SB_LUT4_O_1_I3_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 516 ],
+ "I3": [ 537 ],
+ "O": [ 591 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_O_I1_SB_LUT4_O_1_I3_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000010010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 512 ],
+ "I1": [ 583 ],
+ "I2": [ 584 ],
+ "I3": [ 585 ],
+ "O": [ 592 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_O_I1_SB_LUT4_O_2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0010111011010001"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 542 ],
+ "I1": [ 516 ],
+ "I2": [ 537 ],
+ "I3": [ 586 ],
+ "O": [ 568 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_O_I1_SB_LUT4_O_2_I3_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100001110100101"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 534 ],
+ "I1": [ 535 ],
+ "I2": [ 536 ],
+ "I3": [ 537 ],
+ "O": [ 586 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_D_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 595 ],
+ "I2": [ 596 ],
+ "I3": [ 597 ],
+ "O": [ 434 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100000011111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 440 ],
+ "I2": [ 441 ],
+ "I3": [ 442 ],
+ "O": [ 596 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011111111000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 540 ],
+ "I2": [ 598 ],
+ "I3": [ 599 ],
+ "O": [ 595 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_1_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 600 ],
+ "I2": [ 601 ],
+ "I3": [ 602 ],
+ "O": [ 598 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_1_I2_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 603 ],
+ "I1": [ 604 ],
+ "I2": [ 605 ],
+ "I3": [ 606 ],
+ "O": [ 599 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_1_I2_SB_LUT4_O_1_I0_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111001100110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 607 ],
+ "I2": [ 608 ],
+ "I3": [ 609 ],
+ "O": [ 603 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_1_I2_SB_LUT4_O_1_I0_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1011001001001101"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 610 ],
+ "I1": [ 611 ],
+ "I2": [ 612 ],
+ "I3": [ 613 ],
+ "O": [ 605 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_1_I2_SB_LUT4_O_1_I0_SB_LUT4_O_1_I0_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011011100001011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 614 ],
+ "I1": [ 615 ],
+ "I2": [ 616 ],
+ "I3": [ 617 ],
+ "O": [ 610 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_1_I2_SB_LUT4_O_1_I0_SB_LUT4_O_1_I0_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100000011111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 618 ],
+ "I2": [ 619 ],
+ "I3": [ 620 ],
+ "O": [ 612 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_1_I2_SB_LUT4_O_1_I0_SB_LUT4_O_1_I3_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000110011001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 621 ],
+ "I2": [ 622 ],
+ "I3": [ 623 ],
+ "O": [ 613 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_1_I2_SB_LUT4_O_1_I0_SB_LUT4_O_1_I3_SB_LUT4_O_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011000011110011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 624 ],
+ "I2": [ 625 ],
+ "I3": [ 626 ],
+ "O": [ 621 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_1_I2_SB_LUT4_O_1_I0_SB_LUT4_O_1_I3_SB_LUT4_O_I1_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100001100111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 610 ],
+ "I2": [ 611 ],
+ "I3": [ 612 ],
+ "O": [ 622 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_1_I2_SB_LUT4_O_1_I0_SB_LUT4_O_1_I3_SB_LUT4_O_I1_SB_LUT4_O_2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 627 ],
+ "I2": [ 628 ],
+ "I3": [ 629 ],
+ "O": [ 623 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_1_I2_SB_LUT4_O_1_I0_SB_LUT4_O_2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011000011110011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 630 ],
+ "I2": [ 631 ],
+ "I3": [ 632 ],
+ "O": [ 606 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_1_I2_SB_LUT4_O_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100001100111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 633 ],
+ "I2": [ 634 ],
+ "I3": [ 635 ],
+ "O": [ 600 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_1_I2_SB_LUT4_O_I1_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011111100000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 636 ],
+ "I2": [ 637 ],
+ "I3": [ 638 ],
+ "O": [ 601 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_1_I2_SB_LUT4_O_I3_SB_CARRY_CI": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 602 ],
+ "CO": [ 639 ],
+ "I0": [ 600 ],
+ "I1": [ 601 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_1_I2_SB_LUT4_O_I3_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 640 ],
+ "CO": [ 602 ],
+ "I0": [ 641 ],
+ "I1": [ 642 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111001100110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 643 ],
+ "I2": [ 644 ],
+ "I3": [ 645 ],
+ "O": [ 440 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I1_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011000011110011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 456 ],
+ "I2": [ 457 ],
+ "I3": [ 458 ],
+ "O": [ 441 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I1_SB_LUT4_O_1_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000110011001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 646 ],
+ "I2": [ 647 ],
+ "I3": [ 648 ],
+ "O": [ 457 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I1_SB_LUT4_O_1_I1_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 649 ],
+ "I2": [ 650 ],
+ "I3": [ 651 ],
+ "O": [ 458 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I1_SB_LUT4_O_2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 630 ],
+ "I2": [ 631 ],
+ "I3": [ 632 ],
+ "O": [ 442 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I1_SB_LUT4_O_2_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000110011001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 649 ],
+ "I2": [ 650 ],
+ "I3": [ 651 ],
+ "O": [ 631 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I1_SB_LUT4_O_2_I1_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 621 ],
+ "I2": [ 622 ],
+ "I3": [ 623 ],
+ "O": [ 632 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I1_SB_LUT4_O_2_I1_SB_LUT4_O_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000110011001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 652 ],
+ "I2": [ 653 ],
+ "I3": [ 654 ],
+ "O": [ 649 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I1_SB_LUT4_O_2_I1_SB_LUT4_O_I1_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 624 ],
+ "I2": [ 625 ],
+ "I3": [ 626 ],
+ "O": [ 651 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_D_SB_LUT4_O_I3_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 439 ],
+ "CO": [ 597 ],
+ "I0": [ 437 ],
+ "I1": [ 438 ]
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_E_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 256 ],
+ "I3": [ 374 ],
+ "O": [ 435 ]
+ }
+ },
+ "mic_clk_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000011111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 334 ],
+ "I3": [ 655 ],
+ "O": [ 4 ]
+ }
+ },
+ "mic_clk_SB_LUT4_O_I3_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:42.22-42.34|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 656 ],
+ "CO": [ 655 ],
+ "I0": [ "0" ],
+ "I1": [ 657 ]
+ }
+ },
+ "mic_clk_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:42.22-42.34|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 658 ],
+ "CO": [ 656 ],
+ "I0": [ "0" ],
+ "I1": [ 659 ]
+ }
+ },
+ "mic_clk_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:42.22-42.34|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 660 ],
+ "CO": [ 658 ],
+ "I0": [ "0" ],
+ "I1": [ 661 ]
+ }
+ },
+ "mic_clk_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:42.22-42.34|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 662 ],
+ "CO": [ 660 ],
+ "I0": [ "0" ],
+ "I1": [ 663 ]
+ }
+ },
+ "mic_clk_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:42.22-42.34|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 664 ],
+ "CO": [ 662 ],
+ "I0": [ "0" ],
+ "I1": [ 665 ]
+ }
+ },
+ "mic_clk_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:42.22-42.34|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 666 ],
+ "CO": [ 664 ],
+ "I0": [ "0" ],
+ "I1": [ 667 ]
+ }
+ },
+ "mic_clk_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:42.22-42.34|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 668 ],
+ "CO": [ 666 ],
+ "I0": [ "0" ],
+ "I1": [ 669 ]
+ }
+ },
+ "mic_clk_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:42.22-42.34|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 670 ],
+ "CO": [ 668 ],
+ "I0": [ "0" ],
+ "I1": [ 671 ]
+ }
+ },
+ "mic_clk_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:42.22-42.34|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 672 ],
+ "CO": [ 670 ],
+ "I0": [ "0" ],
+ "I1": [ 673 ]
+ }
+ },
+ "mic_clk_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:42.22-42.34|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 674 ],
+ "CO": [ 672 ],
+ "I0": [ "0" ],
+ "I1": [ 675 ]
+ }
+ },
+ "mic_clk_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:42.22-42.34|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 676 ],
+ "CO": [ 674 ],
+ "I0": [ "0" ],
+ "I1": [ 677 ]
+ }
+ },
+ "mic_clk_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:42.22-42.34|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 678 ],
+ "CO": [ 676 ],
+ "I0": [ "0" ],
+ "I1": [ 679 ]
+ }
+ },
+ "mic_clk_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:42.22-42.34|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 680 ],
+ "CO": [ 678 ],
+ "I0": [ "0" ],
+ "I1": [ 681 ]
+ }
+ },
+ "mic_clk_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:42.22-42.34|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 682 ],
+ "CO": [ 680 ],
+ "I0": [ "0" ],
+ "I1": [ 683 ]
+ }
+ },
+ "mic_clk_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:42.22-42.34|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 684 ],
+ "CO": [ 682 ],
+ "I0": [ "0" ],
+ "I1": [ 685 ]
+ }
+ },
+ "mic_clk_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "mictoled.v:42.22-42.34|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 253 ],
+ "CO": [ 684 ],
+ "I0": [ "0" ],
+ "I1": [ 686 ]
+ }
+ },
+ "mic_clk_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ 21 ],
+ "O": [ 686 ]
+ }
+ },
+ "mic_clk_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ 20 ],
+ "O": [ 685 ]
+ }
+ },
+ "mic_clk_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ 19 ],
+ "O": [ 683 ]
+ }
+ },
+ "mic_clk_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ 18 ],
+ "O": [ 681 ]
+ }
+ },
+ "mic_clk_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ 17 ],
+ "O": [ 679 ]
+ }
+ },
+ "mic_clk_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ 16 ],
+ "O": [ 677 ]
+ }
+ },
+ "mic_clk_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ 98 ],
+ "O": [ 675 ]
+ }
+ },
+ "mic_clk_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ 100 ],
+ "O": [ 673 ]
+ }
+ },
+ "mic_clk_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ 102 ],
+ "O": [ 671 ]
+ }
+ },
+ "mic_clk_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ 104 ],
+ "O": [ 669 ]
+ }
+ },
+ "mic_clk_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ 106 ],
+ "O": [ 667 ]
+ }
+ },
+ "mic_clk_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ 108 ],
+ "O": [ 665 ]
+ }
+ },
+ "mic_clk_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ 110 ],
+ "O": [ 663 ]
+ }
+ },
+ "mic_clk_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ 26 ],
+ "O": [ 661 ]
+ }
+ },
+ "mic_clk_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ 15 ],
+ "O": [ 659 ]
+ }
+ },
+ "mic_clk_SB_LUT4_O_I3_SB_CARRY_CO_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ 14 ],
+ "O": [ 657 ]
+ }
+ },
+ "mic_strobe_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1000000000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 687 ],
+ "I1": [ 688 ],
+ "I2": [ 689 ],
+ "I3": [ 690 ],
+ "O": [ 334 ]
+ }
+ },
+ "mic_strobe_SB_LUT4_O_I0_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000000001000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 40 ],
+ "I1": [ 57 ],
+ "I2": [ 221 ],
+ "I3": [ 220 ],
+ "O": [ 687 ]
+ }
+ },
+ "mic_strobe_SB_LUT4_O_I0_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000000000001"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 31 ],
+ "I1": [ 32 ],
+ "I2": [ 33 ],
+ "I3": [ 35 ],
+ "O": [ 688 ]
+ }
+ },
+ "mic_strobe_SB_LUT4_O_I0_SB_LUT4_O_2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 691 ],
+ "I3": [ 692 ],
+ "O": [ 689 ]
+ }
+ },
+ "mic_strobe_SB_LUT4_O_I0_SB_LUT4_O_2_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000000000001"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 27 ],
+ "I1": [ 28 ],
+ "I2": [ 29 ],
+ "I3": [ 30 ],
+ "O": [ 691 ]
+ }
+ },
+ "mic_strobe_SB_LUT4_O_I0_SB_LUT4_O_2_I2_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000000000001"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 22 ],
+ "I1": [ 23 ],
+ "I2": [ 24 ],
+ "I3": [ 25 ],
+ "O": [ 692 ]
+ }
+ },
+ "mic_strobe_SB_LUT4_O_I0_SB_LUT4_O_3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0100000000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 18 ],
+ "I1": [ 693 ],
+ "I2": [ 694 ],
+ "I3": [ 695 ],
+ "O": [ 690 ]
+ }
+ },
+ "mic_strobe_SB_LUT4_O_I0_SB_LUT4_O_3_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000000000001"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 108 ],
+ "I1": [ 106 ],
+ "I2": [ 104 ],
+ "I3": [ 102 ],
+ "O": [ 693 ]
+ }
+ },
+ "mic_strobe_SB_LUT4_O_I0_SB_LUT4_O_3_I1_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000000000001"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 14 ],
+ "I1": [ 15 ],
+ "I2": [ 26 ],
+ "I3": [ 110 ],
+ "O": [ 694 ]
+ }
+ },
+ "mic_strobe_SB_LUT4_O_I0_SB_LUT4_O_3_I1_SB_LUT4_O_2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000100000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 19 ],
+ "I1": [ 20 ],
+ "I2": [ 21 ],
+ "I3": [ 696 ],
+ "O": [ 695 ]
+ }
+ },
+ "mic_strobe_SB_LUT4_O_I0_SB_LUT4_O_3_I1_SB_LUT4_O_2_I3_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000000000001"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 100 ],
+ "I1": [ 98 ],
+ "I2": [ 16 ],
+ "I3": [ 17 ],
+ "O": [ 696 ]
+ }
+ },
+ "previous_integrator_SB_DFFE_Q": {
+ "hide_name": 0,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:62.5-67.8|/usr/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 324 ],
+ "E": [ 256 ],
+ "Q": [ 323 ]
+ }
+ },
+ "previous_integrator_SB_DFFE_Q_1": {
+ "hide_name": 0,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:62.5-67.8|/usr/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 271 ],
+ "E": [ 256 ],
+ "Q": [ 274 ]
+ }
+ },
+ "previous_integrator_SB_DFFE_Q_10": {
+ "hide_name": 0,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:62.5-67.8|/usr/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 262 ],
+ "E": [ 256 ],
+ "Q": [ 265 ]
+ }
+ },
+ "previous_integrator_SB_DFFE_Q_11": {
+ "hide_name": 0,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:62.5-67.8|/usr/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 266 ],
+ "E": [ 256 ],
+ "Q": [ 270 ]
+ }
+ },
+ "previous_integrator_SB_DFFE_Q_2": {
+ "hide_name": 0,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:62.5-67.8|/usr/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 276 ],
+ "E": [ 256 ],
+ "Q": [ 280 ]
+ }
+ },
+ "previous_integrator_SB_DFFE_Q_3": {
+ "hide_name": 0,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:62.5-67.8|/usr/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 282 ],
+ "E": [ 256 ],
+ "Q": [ 286 ]
+ }
+ },
+ "previous_integrator_SB_DFFE_Q_4": {
+ "hide_name": 0,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:62.5-67.8|/usr/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 288 ],
+ "E": [ 256 ],
+ "Q": [ 292 ]
+ }
+ },
+ "previous_integrator_SB_DFFE_Q_5": {
+ "hide_name": 0,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:62.5-67.8|/usr/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 294 ],
+ "E": [ 256 ],
+ "Q": [ 298 ]
+ }
+ },
+ "previous_integrator_SB_DFFE_Q_6": {
+ "hide_name": 0,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:62.5-67.8|/usr/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 300 ],
+ "E": [ 256 ],
+ "Q": [ 304 ]
+ }
+ },
+ "previous_integrator_SB_DFFE_Q_7": {
+ "hide_name": 0,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:62.5-67.8|/usr/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 306 ],
+ "E": [ 256 ],
+ "Q": [ 310 ]
+ }
+ },
+ "previous_integrator_SB_DFFE_Q_8": {
+ "hide_name": 0,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:62.5-67.8|/usr/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 312 ],
+ "E": [ 256 ],
+ "Q": [ 316 ]
+ }
+ },
+ "previous_integrator_SB_DFFE_Q_9": {
+ "hide_name": 0,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:62.5-67.8|/usr/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 318 ],
+ "E": [ 256 ],
+ "Q": [ 322 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q": {
+ "hide_name": 0,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:82.5-96.8|/usr/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 697 ],
+ "E": [ 256 ],
+ "Q": [ 698 ],
+ "R": [ 374 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_1": {
+ "hide_name": 0,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:82.5-96.8|/usr/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 699 ],
+ "E": [ 256 ],
+ "Q": [ 700 ],
+ "R": [ 374 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_10": {
+ "hide_name": 0,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:82.5-96.8|/usr/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 701 ],
+ "E": [ 256 ],
+ "Q": [ 702 ],
+ "R": [ 374 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_10_D_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 703 ],
+ "I2": [ 704 ],
+ "I3": [ 705 ],
+ "O": [ 701 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_10_D_SB_LUT4_O_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 303 ],
+ "I2": [ 706 ],
+ "I3": [ 707 ],
+ "O": [ 703 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_10_D_SB_LUT4_O_I1_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111001100110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 515 ],
+ "I2": [ 309 ],
+ "I3": [ 708 ],
+ "O": [ 704 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_10_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 709 ],
+ "I2": [ 710 ],
+ "I3": [ 711 ],
+ "O": [ 707 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_10_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011111100000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 712 ],
+ "I2": [ 713 ],
+ "I3": [ 714 ],
+ "O": [ 710 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_10_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I1_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1011001001001101"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 715 ],
+ "I1": [ 716 ],
+ "I2": [ 717 ],
+ "I3": [ 718 ],
+ "O": [ 709 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_10_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I1_SB_LUT4_O_1_I3_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1001011001101001"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 719 ],
+ "I1": [ 720 ],
+ "I2": [ 721 ],
+ "I3": [ 722 ],
+ "O": [ 718 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_10_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I1_SB_LUT4_O_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000101101111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 723 ],
+ "I1": [ 702 ],
+ "I2": [ 724 ],
+ "I3": [ 565 ],
+ "O": [ 712 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_10_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 639 ],
+ "CO": [ 711 ],
+ "I0": [ 725 ],
+ "I1": [ 726 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_10_D_SB_LUT4_O_I3_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 727 ],
+ "CO": [ 705 ],
+ "I0": [ 728 ],
+ "I1": [ 729 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_11": {
+ "hide_name": 0,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:82.5-96.8|/usr/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 730 ],
+ "E": [ 256 ],
+ "Q": [ 724 ],
+ "R": [ 374 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_11_D_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 728 ],
+ "I2": [ 729 ],
+ "I3": [ 727 ],
+ "O": [ 730 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_11_D_SB_LUT4_O_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 515 ],
+ "I2": [ 309 ],
+ "I3": [ 708 ],
+ "O": [ 728 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_11_D_SB_LUT4_O_I1_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111001100110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 587 ],
+ "I2": [ 315 ],
+ "I3": [ 598 ],
+ "O": [ 729 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_11_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 725 ],
+ "I2": [ 726 ],
+ "I3": [ 639 ],
+ "O": [ 708 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_11_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100001100111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 712 ],
+ "I2": [ 713 ],
+ "I3": [ 714 ],
+ "O": [ 725 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_11_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I1_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011111100000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 633 ],
+ "I2": [ 634 ],
+ "I3": [ 635 ],
+ "O": [ 726 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_11_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I1_SB_LUT4_O_1_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000101101111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 702 ],
+ "I1": [ 724 ],
+ "I2": [ 565 ],
+ "I3": [ 561 ],
+ "O": [ 633 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_11_D_SB_LUT4_O_I3_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 731 ],
+ "CO": [ 727 ],
+ "I0": [ 732 ],
+ "I1": [ 733 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_12": {
+ "hide_name": 0,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:82.5-96.8|/usr/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 734 ],
+ "E": [ 256 ],
+ "Q": [ 565 ],
+ "R": [ 374 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_12_D_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 732 ],
+ "I2": [ 733 ],
+ "I3": [ 731 ],
+ "O": [ 734 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_12_D_SB_LUT4_O_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 587 ],
+ "I2": [ 315 ],
+ "I3": [ 598 ],
+ "O": [ 732 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_12_D_SB_LUT4_O_I1_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100111100001100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 321 ],
+ "I2": [ 539 ],
+ "I3": [ 735 ],
+ "O": [ 733 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_12_D_SB_LUT4_O_I3_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 736 ],
+ "CO": [ 731 ],
+ "I0": [ 737 ],
+ "I1": [ 738 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13": {
+ "hide_name": 0,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:82.5-96.8|/usr/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 739 ],
+ "E": [ 256 ],
+ "Q": [ 561 ],
+ "R": [ 374 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 737 ],
+ "I2": [ 738 ],
+ "I3": [ 736 ],
+ "O": [ 739 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 321 ],
+ "I2": [ 539 ],
+ "I3": [ 735 ],
+ "O": [ 737 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111001100110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 529 ],
+ "I2": [ 261 ],
+ "I3": [ 740 ],
+ "O": [ 738 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 529 ],
+ "I3": [ 735 ],
+ "O": [ 741 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000100010001000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 742 ],
+ "I1": [ 516 ],
+ "I2": [ 540 ],
+ "I3": [ 735 ],
+ "O": [ 743 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1000011101110111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 742 ],
+ "I1": [ 516 ],
+ "I2": [ 540 ],
+ "I3": [ 735 ],
+ "O": [ 744 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_2_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0111100010000111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 745 ],
+ "I1": [ 565 ],
+ "I2": [ 746 ],
+ "I3": [ 747 ],
+ "O": [ 748 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_2_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000011101111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 749 ],
+ "I1": [ 542 ],
+ "I2": [ 750 ],
+ "I3": [ 751 ],
+ "O": [ 752 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_2_O_SB_LUT4_O_1_I2_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0111100010000111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 749 ],
+ "I1": [ 542 ],
+ "I2": [ 750 ],
+ "I3": [ 751 ],
+ "O": [ 753 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 754 ],
+ "I2": [ 561 ],
+ "I3": [ 755 ],
+ "O": [ 756 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0111100010000111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 757 ],
+ "I1": [ 724 ],
+ "I2": [ 758 ],
+ "I3": [ 759 ],
+ "O": [ 760 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000011101111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 757 ],
+ "I1": [ 724 ],
+ "I2": [ 758 ],
+ "I3": [ 759 ],
+ "O": [ 761 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_I1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 762 ],
+ "I2": [ 761 ],
+ "I3": [ 763 ],
+ "O": [ 764 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000011101111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 745 ],
+ "I1": [ 565 ],
+ "I2": [ 746 ],
+ "I3": [ 747 ],
+ "O": [ 762 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 765 ],
+ "I3": [ 561 ],
+ "O": [ 763 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 754 ],
+ "I3": [ 565 ],
+ "O": [ 758 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 723 ],
+ "I3": [ 702 ],
+ "O": [ 759 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100000000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 749 ],
+ "I2": [ 542 ],
+ "I3": [ 766 ],
+ "O": [ 767 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I3_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000001100001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 540 ],
+ "I2": [ 755 ],
+ "I3": [ 768 ],
+ "O": [ 769 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 770 ],
+ "I2": [ 769 ],
+ "I3": [ 771 ],
+ "O": [ 772 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I1_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011000011110011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 770 ],
+ "I2": [ 769 ],
+ "I3": [ 771 ],
+ "O": [ 773 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I1_O_SB_LUT4_I1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 774 ],
+ "I2": [ 775 ],
+ "I3": [ 772 ],
+ "O": [ 624 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111011101110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 702 ],
+ "I1": [ 724 ],
+ "I2": [ 776 ],
+ "I3": [ 777 ],
+ "O": [ 770 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100111000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 540 ],
+ "I1": [ 767 ],
+ "I2": [ 753 ],
+ "I3": [ 740 ],
+ "O": [ 771 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0111100010000111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 702 ],
+ "I1": [ 724 ],
+ "I2": [ 776 ],
+ "I3": [ 777 ],
+ "O": [ 778 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 779 ],
+ "I2": [ 780 ],
+ "I3": [ 778 ],
+ "O": [ 781 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I1_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000110011001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 779 ],
+ "I2": [ 780 ],
+ "I3": [ 778 ],
+ "O": [ 775 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I1_O_SB_LUT4_I1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 782 ],
+ "I2": [ 781 ],
+ "I3": [ 783 ],
+ "O": [ 653 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I1_O_SB_LUT4_I1_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000110011001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 782 ],
+ "I2": [ 781 ],
+ "I3": [ 783 ],
+ "O": [ 625 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000110011001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 784 ],
+ "I2": [ 785 ],
+ "I3": [ 786 ],
+ "O": [ 779 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 702 ],
+ "I1": [ 787 ],
+ "I2": [ 788 ],
+ "I3": [ 789 ],
+ "O": [ 780 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011111111000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 754 ],
+ "I2": [ 561 ],
+ "I3": [ 788 ],
+ "O": [ 777 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I3_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011111111000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 754 ],
+ "I2": [ 561 ],
+ "I3": [ 755 ],
+ "O": [ 789 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000011101111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 754 ],
+ "I1": [ 542 ],
+ "I2": [ 766 ],
+ "I3": [ 790 ],
+ "O": [ 787 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 791 ],
+ "I3": [ 792 ],
+ "O": [ 788 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111110011000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 702 ],
+ "I2": [ 791 ],
+ "I3": [ 792 ],
+ "O": [ 793 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I2_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000011101111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 754 ],
+ "I1": [ 561 ],
+ "I2": [ 791 ],
+ "I3": [ 792 ],
+ "O": [ 794 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_I1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 793 ],
+ "I2": [ 760 ],
+ "I3": [ 794 ],
+ "O": [ 795 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_I1_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000110011001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 793 ],
+ "I2": [ 760 ],
+ "I3": [ 794 ],
+ "O": [ 796 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_I1_1_O_SB_LUT4_I1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100001100111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 796 ],
+ "I2": [ 797 ],
+ "I3": [ 798 ],
+ "O": [ 799 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_I1_1_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0100110111001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 540 ],
+ "I1": [ 767 ],
+ "I2": [ 753 ],
+ "I3": [ 740 ],
+ "O": [ 797 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_I1_1_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 748 ],
+ "I2": [ 752 ],
+ "I3": [ 744 ],
+ "O": [ 798 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_I1_O_SB_LUT4_I1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 800 ],
+ "I2": [ 801 ],
+ "I3": [ 795 ],
+ "O": [ 774 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_I1_O_SB_LUT4_I1_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011000011110011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 800 ],
+ "I2": [ 801 ],
+ "I3": [ 795 ],
+ "O": [ 802 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_I1_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110001101001"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 767 ],
+ "I1": [ 760 ],
+ "I2": [ 753 ],
+ "I3": [ 756 ],
+ "O": [ 800 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_I1_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0010000110110111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 702 ],
+ "I1": [ 787 ],
+ "I2": [ 788 ],
+ "I3": [ 789 ],
+ "O": [ 801 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 757 ],
+ "I3": [ 565 ],
+ "O": [ 791 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I3_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1001010100111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 749 ],
+ "I1": [ 745 ],
+ "I2": [ 542 ],
+ "I3": [ 516 ],
+ "O": [ 755 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_2_O_SB_LUT4_O_1_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 745 ],
+ "I3": [ 561 ],
+ "O": [ 750 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_2_O_SB_LUT4_O_1_I2_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 765 ],
+ "I3": [ 516 ],
+ "O": [ 751 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_2_O_SB_LUT4_O_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 765 ],
+ "I3": [ 542 ],
+ "O": [ 746 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_2_O_SB_LUT4_O_I2_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 749 ],
+ "I3": [ 561 ],
+ "O": [ 747 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110010110011010"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 803 ],
+ "I1": [ 804 ],
+ "I2": [ 805 ],
+ "I3": [ 806 ],
+ "O": [ 807 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 808 ],
+ "I2": [ 809 ],
+ "I3": [ 810 ],
+ "O": [ 811 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1001001101011111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 587 ],
+ "I1": [ 539 ],
+ "I2": [ 768 ],
+ "I3": [ 740 ],
+ "O": [ 808 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000001111000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 587 ],
+ "I1": [ 812 ],
+ "I2": [ 813 ],
+ "I3": [ 814 ],
+ "O": [ 809 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_1_I2_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1000011101111000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 587 ],
+ "I1": [ 812 ],
+ "I2": [ 813 ],
+ "I3": [ 814 ],
+ "O": [ 815 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_1_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011111000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 587 ],
+ "I1": [ 816 ],
+ "I2": [ 817 ],
+ "I3": [ 818 ],
+ "O": [ 814 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_1_I2_SB_LUT4_O_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1001010100111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 539 ],
+ "I1": [ 529 ],
+ "I2": [ 768 ],
+ "I3": [ 812 ],
+ "O": [ 817 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_1_I2_SB_LUT4_O_I2_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011111000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 587 ],
+ "I1": [ 819 ],
+ "I2": [ 820 ],
+ "I3": [ 821 ],
+ "O": [ 822 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_1_I2_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1001001101011111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 539 ],
+ "I1": [ 529 ],
+ "I2": [ 816 ],
+ "I3": [ 812 ],
+ "O": [ 820 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_1_I2_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011110010"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 587 ],
+ "I1": [ 823 ],
+ "I2": [ 824 ],
+ "I3": [ 825 ],
+ "O": [ 826 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_1_I2_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_1_I3_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0001001101011111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 539 ],
+ "I1": [ 529 ],
+ "I2": [ 819 ],
+ "I3": [ 816 ],
+ "O": [ 825 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_1_I2_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0001001101011111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 539 ],
+ "I1": [ 529 ],
+ "I2": [ 816 ],
+ "I3": [ 812 ],
+ "O": [ 821 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_1_I2_SB_LUT4_O_I3_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0001010100111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 539 ],
+ "I1": [ 529 ],
+ "I2": [ 768 ],
+ "I3": [ 812 ],
+ "O": [ 818 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1000011101111000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 515 ],
+ "I1": [ 812 ],
+ "I2": [ 827 ],
+ "I3": [ 828 ],
+ "O": [ 810 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011111000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 587 ],
+ "I1": [ 812 ],
+ "I2": [ 813 ],
+ "I3": [ 829 ],
+ "O": [ 827 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100001100111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 830 ],
+ "I2": [ 831 ],
+ "I3": [ 832 ],
+ "O": [ 828 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000111111000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 833 ],
+ "I2": [ 834 ],
+ "I3": [ 823 ],
+ "O": [ 830 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1001001101011111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 835 ],
+ "I1": [ 706 ],
+ "I2": [ 819 ],
+ "I3": [ 816 ],
+ "O": [ 831 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011110010"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 835 ],
+ "I1": [ 823 ],
+ "I2": [ 836 ],
+ "I3": [ 837 ],
+ "O": [ 832 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000110011110011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 835 ],
+ "I2": [ 823 ],
+ "I3": [ 836 ],
+ "O": [ 838 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_I1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100001100111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 839 ],
+ "I2": [ 840 ],
+ "I3": [ 838 ],
+ "O": [ 841 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000110011110011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 842 ],
+ "I2": [ 563 ],
+ "I3": [ 843 ],
+ "O": [ 839 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011110010"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 835 ],
+ "I1": [ 844 ],
+ "I2": [ 845 ],
+ "I3": [ 846 ],
+ "O": [ 840 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000110011110011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 835 ],
+ "I2": [ 844 ],
+ "I3": [ 845 ],
+ "O": [ 847 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100001100111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 848 ],
+ "I2": [ 849 ],
+ "I3": [ 847 ],
+ "O": [ 850 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I1_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000001111000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 587 ],
+ "I1": [ 819 ],
+ "I2": [ 820 ],
+ "I3": [ 826 ],
+ "O": [ 851 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I1_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1000011101111000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 587 ],
+ "I1": [ 816 ],
+ "I2": [ 817 ],
+ "I3": [ 822 ],
+ "O": [ 852 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000110011110011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 842 ],
+ "I2": [ 557 ],
+ "I3": [ 853 ],
+ "O": [ 848 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011111000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 835 ],
+ "I1": [ 593 ],
+ "I2": [ 854 ],
+ "I3": [ 855 ],
+ "O": [ 849 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100000000111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 835 ],
+ "I2": [ 593 ],
+ "I3": [ 854 ],
+ "O": [ 856 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100001100111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 857 ],
+ "I2": [ 858 ],
+ "I3": [ 856 ],
+ "O": [ 859 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I1_O_SB_LUT4_I1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 859 ],
+ "I2": [ 860 ],
+ "I3": [ 861 ],
+ "O": [ 862 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I1_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011010010"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 587 ],
+ "I1": [ 823 ],
+ "I2": [ 824 ],
+ "I3": [ 863 ],
+ "O": [ 860 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I1_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1000011101111000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 587 ],
+ "I1": [ 819 ],
+ "I2": [ 820 ],
+ "I3": [ 826 ],
+ "O": [ 861 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1001001101011111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 539 ],
+ "I1": [ 529 ],
+ "I2": [ 819 ],
+ "I3": [ 816 ],
+ "O": [ 824 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100000000111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 842 ],
+ "I2": [ 542 ],
+ "I3": [ 864 ],
+ "O": [ 857 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011110010"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 835 ],
+ "I1": [ 563 ],
+ "I2": [ 865 ],
+ "I3": [ 866 ],
+ "O": [ 858 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000110011110011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 835 ],
+ "I2": [ 563 ],
+ "I3": [ 865 ],
+ "O": [ 867 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100001100111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 868 ],
+ "I2": [ 867 ],
+ "I3": [ 869 ],
+ "O": [ 870 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I1_O_SB_LUT4_I1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011000011110011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 870 ],
+ "I2": [ 871 ],
+ "I3": [ 872 ],
+ "O": [ 873 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I1_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011010010"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 587 ],
+ "I1": [ 844 ],
+ "I2": [ 874 ],
+ "I3": [ 875 ],
+ "O": [ 871 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I1_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0010110111010010"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 587 ],
+ "I1": [ 823 ],
+ "I2": [ 824 ],
+ "I3": [ 863 ],
+ "O": [ 872 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100000000111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 842 ],
+ "I2": [ 516 ],
+ "I3": [ 876 ],
+ "O": [ 868 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011110010"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 835 ],
+ "I1": [ 557 ],
+ "I2": [ 877 ],
+ "I3": [ 878 ],
+ "O": [ 869 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000110011110011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 835 ],
+ "I2": [ 557 ],
+ "I3": [ 877 ],
+ "O": [ 879 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 880 ],
+ "I2": [ 881 ],
+ "I3": [ 879 ],
+ "O": [ 882 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I1_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0010110111010010"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 587 ],
+ "I1": [ 844 ],
+ "I2": [ 874 ],
+ "I3": [ 875 ],
+ "O": [ 883 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1001010100111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 884 ],
+ "I1": [ 833 ],
+ "I2": [ 542 ],
+ "I3": [ 516 ],
+ "O": [ 880 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011111000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 835 ],
+ "I1": [ 542 ],
+ "I2": [ 885 ],
+ "I3": [ 886 ],
+ "O": [ 881 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100000000111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 835 ],
+ "I2": [ 542 ],
+ "I3": [ 885 ],
+ "O": [ 887 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000011101111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 833 ],
+ "I1": [ 516 ],
+ "I2": [ 888 ],
+ "I3": [ 887 ],
+ "O": [ 889 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1000011101111000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 833 ],
+ "I1": [ 516 ],
+ "I2": [ 888 ],
+ "I3": [ 887 ],
+ "O": [ 890 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_1_O_SB_LUT4_I1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011000011110011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 890 ],
+ "I2": [ 891 ],
+ "I3": [ 892 ],
+ "O": [ 893 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_1_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011010010"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 587 ],
+ "I1": [ 563 ],
+ "I2": [ 894 ],
+ "I3": [ 895 ],
+ "O": [ 891 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_1_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1000011101111000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 587 ],
+ "I1": [ 593 ],
+ "I2": [ 896 ],
+ "I3": [ 897 ],
+ "O": [ 892 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_1_O_SB_LUT4_O_1_I2_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000011100001000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 587 ],
+ "I1": [ 593 ],
+ "I2": [ 896 ],
+ "I3": [ 897 ],
+ "O": [ 898 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_1_O_SB_LUT4_O_1_I2_SB_LUT4_I2_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011111000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 587 ],
+ "I1": [ 593 ],
+ "I2": [ 897 ],
+ "I3": [ 899 ],
+ "O": [ 875 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_1_O_SB_LUT4_O_1_I2_SB_LUT4_I2_1_I3_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111010100110001"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 539 ],
+ "I1": [ 529 ],
+ "I2": [ 844 ],
+ "I3": [ 823 ],
+ "O": [ 899 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_1_O_SB_LUT4_O_1_I2_SB_LUT4_I2_1_O_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011110010"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 587 ],
+ "I1": [ 844 ],
+ "I2": [ 874 ],
+ "I3": [ 900 ],
+ "O": [ 863 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_1_O_SB_LUT4_O_1_I2_SB_LUT4_I2_1_O_SB_LUT4_I2_I3_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011000111110101"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 539 ],
+ "I1": [ 529 ],
+ "I2": [ 823 ],
+ "I3": [ 819 ],
+ "O": [ 900 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_1_O_SB_LUT4_O_1_I2_SB_LUT4_I2_1_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011100111110101"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 539 ],
+ "I1": [ 529 ],
+ "I2": [ 823 ],
+ "I3": [ 819 ],
+ "O": [ 874 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_1_O_SB_LUT4_O_1_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011110010"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 587 ],
+ "I1": [ 563 ],
+ "I2": [ 894 ],
+ "I3": [ 901 ],
+ "O": [ 896 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_1_O_SB_LUT4_O_1_I2_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111010100111001"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 539 ],
+ "I1": [ 529 ],
+ "I2": [ 844 ],
+ "I3": [ 823 ],
+ "O": [ 897 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_1_O_SB_LUT4_O_1_I2_SB_LUT4_O_I3_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0101000111110011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 539 ],
+ "I1": [ 529 ],
+ "I2": [ 844 ],
+ "I3": [ 593 ],
+ "O": [ 901 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_1_O_SB_LUT4_O_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011110010"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 587 ],
+ "I1": [ 557 ],
+ "I2": [ 902 ],
+ "I3": [ 903 ],
+ "O": [ 895 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_1_O_SB_LUT4_O_I2_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0101100111110011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 539 ],
+ "I1": [ 529 ],
+ "I2": [ 844 ],
+ "I3": [ 593 ],
+ "O": [ 894 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_1_O_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011000111110101"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 539 ],
+ "I1": [ 529 ],
+ "I2": [ 563 ],
+ "I3": [ 593 ],
+ "O": [ 903 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000111111110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 904 ],
+ "I3": [ 889 ],
+ "O": [ 905 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 904 ],
+ "I3": [ 889 ],
+ "O": [ 906 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_1_O_SB_LUT4_I1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100001100111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 906 ],
+ "I2": [ 907 ],
+ "I3": [ 908 ],
+ "O": [ 909 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_1_O_SB_LUT4_I1_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000110011001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 910 ],
+ "I2": [ 911 ],
+ "I3": [ 912 ],
+ "O": [ 913 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_1_O_SB_LUT4_I1_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 914 ],
+ "I2": [ 915 ],
+ "I3": [ 916 ],
+ "O": [ 917 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_1_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100000011111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 918 ],
+ "I2": [ 893 ],
+ "I3": [ 919 ],
+ "O": [ 908 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_1_O_SB_LUT4_O_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011000011110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 540 ],
+ "I2": [ 920 ],
+ "I3": [ 819 ],
+ "O": [ 918 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_1_O_SB_LUT4_O_I1_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 882 ],
+ "I2": [ 898 ],
+ "I3": [ 883 ],
+ "O": [ 919 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_I1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100001100111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 905 ],
+ "I2": [ 921 ],
+ "I3": [ 922 ],
+ "O": [ 923 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_I1_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 910 ],
+ "I2": [ 911 ],
+ "I3": [ 912 ],
+ "O": [ 924 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 925 ],
+ "I3": [ 926 ],
+ "O": [ 921 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 927 ],
+ "I3": [ 928 ],
+ "O": [ 922 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000111111110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 927 ],
+ "I3": [ 928 ],
+ "O": [ 929 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_I1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000110011001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 930 ],
+ "I2": [ 931 ],
+ "I3": [ 929 ],
+ "O": [ 932 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011111100010111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 540 ],
+ "I1": [ 933 ],
+ "I2": [ 934 ],
+ "I3": [ 823 ],
+ "O": [ 930 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 935 ],
+ "I2": [ 936 ],
+ "I3": [ 937 ],
+ "O": [ 931 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_LUT4_I0": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1010101000111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 933 ],
+ "I1": [ 702 ],
+ "I2": [ 516 ],
+ "I3": [ 938 ],
+ "O": [ 939 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_LUT4_I0_I3_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011111111000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 702 ],
+ "I2": [ 516 ],
+ "I3": [ 938 ],
+ "O": [ 940 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 941 ],
+ "I1": [ 940 ],
+ "I2": [ 939 ],
+ "I3": [ 942 ],
+ "O": [ 934 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I1_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0010000110110111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 941 ],
+ "I1": [ 940 ],
+ "I2": [ 939 ],
+ "I3": [ 942 ],
+ "O": [ 936 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I1_1_O_SB_LUT4_I1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011000011110011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 935 ],
+ "I2": [ 936 ],
+ "I3": [ 937 ],
+ "O": [ 910 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I1_1_O_SB_LUT4_I1_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 943 ],
+ "I2": [ 944 ],
+ "I3": [ 945 ],
+ "O": [ 911 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I1_1_O_SB_LUT4_I1_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100001100111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 918 ],
+ "I2": [ 893 ],
+ "I3": [ 919 ],
+ "O": [ 912 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I1_1_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 946 ],
+ "I2": [ 947 ],
+ "I3": [ 948 ],
+ "O": [ 935 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I1_1_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 540 ],
+ "I2": [ 920 ],
+ "I3": [ 819 ],
+ "O": [ 937 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I1_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 949 ],
+ "I3": [ 950 ],
+ "O": [ 823 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 951 ],
+ "I1": [ 952 ],
+ "I2": [ 953 ],
+ "I3": [ 939 ],
+ "O": [ 942 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_LUT4_I0_I3_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1001010100111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 724 ],
+ "I1": [ 565 ],
+ "I2": [ 561 ],
+ "I3": [ 542 ],
+ "O": [ 938 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 954 ],
+ "I3": [ 941 ],
+ "O": [ 952 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_LUT4_I0_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 565 ],
+ "I2": [ 954 ],
+ "I3": [ 951 ],
+ "O": [ 953 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_LUT4_I1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110010010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 540 ],
+ "I1": [ 933 ],
+ "I2": [ 934 ],
+ "I3": [ 823 ],
+ "O": [ 955 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_LUT4_I1_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011000111110111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 540 ],
+ "I1": [ 956 ],
+ "I2": [ 844 ],
+ "I3": [ 957 ],
+ "O": [ 958 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_LUT4_I1_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000111111110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 959 ],
+ "I3": [ 960 ],
+ "O": [ 961 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0101010111110011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 962 ],
+ "I1": [ 561 ],
+ "I2": [ 963 ],
+ "I3": [ 964 ],
+ "O": [ 933 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000111111110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 561 ],
+ "I3": [ 963 ],
+ "O": [ 965 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_I3_O_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0111110000000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 565 ],
+ "I1": [ 542 ],
+ "I2": [ 564 ],
+ "I3": [ 965 ],
+ "O": [ 594 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 962 ],
+ "I2": [ 966 ],
+ "I3": [ 967 ],
+ "O": [ 593 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0001010100111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 565 ],
+ "I1": [ 561 ],
+ "I2": [ 542 ],
+ "I3": [ 516 ],
+ "O": [ 963 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111001100001100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 561 ],
+ "I2": [ 963 ],
+ "I3": [ 964 ],
+ "O": [ 956 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0111111100000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 565 ],
+ "I1": [ 542 ],
+ "I2": [ 564 ],
+ "I3": [ 965 ],
+ "O": [ 957 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011001100111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 968 ],
+ "I2": [ 969 ],
+ "I3": [ 967 ],
+ "O": [ 844 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111110011000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 724 ],
+ "I2": [ 561 ],
+ "I3": [ 962 ],
+ "O": [ 969 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000001100000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 724 ],
+ "I2": [ 968 ],
+ "I3": [ 967 ],
+ "O": [ 949 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 564 ],
+ "I3": [ 562 ],
+ "O": [ 967 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100000000111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 724 ],
+ "I2": [ 516 ],
+ "I3": [ 962 ],
+ "O": [ 964 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100000011111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 970 ],
+ "I2": [ 971 ],
+ "I3": [ 972 ],
+ "O": [ 927 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 890 ],
+ "I2": [ 891 ],
+ "I3": [ 892 ],
+ "O": [ 928 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 925 ],
+ "I3": [ 926 ],
+ "O": [ 973 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 973 ],
+ "I3": [ 974 ],
+ "O": [ 975 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_I1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100000011111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 976 ],
+ "I2": [ 975 ],
+ "I3": [ 977 ],
+ "O": [ 477 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000110011001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 958 ],
+ "I2": [ 955 ],
+ "I3": [ 961 ],
+ "O": [ 976 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 930 ],
+ "I2": [ 931 ],
+ "I3": [ 929 ],
+ "O": [ 977 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 959 ],
+ "I3": [ 960 ],
+ "O": [ 974 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100000011111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 978 ],
+ "I2": [ 979 ],
+ "I3": [ 980 ],
+ "O": [ 959 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100001100111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 970 ],
+ "I2": [ 971 ],
+ "I3": [ 972 ],
+ "O": [ 960 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100001100000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 835 ],
+ "I2": [ 981 ],
+ "I3": [ 982 ],
+ "O": [ 925 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1001011001101001"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 983 ],
+ "I1": [ 984 ],
+ "I2": [ 985 ],
+ "I3": [ 986 ],
+ "O": [ 926 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 987 ],
+ "I2": [ 988 ],
+ "I3": [ 989 ],
+ "O": [ 904 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011111000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 835 ],
+ "I1": [ 516 ],
+ "I2": [ 981 ],
+ "I3": [ 990 ],
+ "O": [ 888 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0101111100010011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 706 ],
+ "I1": [ 515 ],
+ "I2": [ 542 ],
+ "I3": [ 557 ],
+ "O": [ 990 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111010100111001"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 706 ],
+ "I1": [ 515 ],
+ "I2": [ 557 ],
+ "I3": [ 563 ],
+ "O": [ 885 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111010100110001"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 706 ],
+ "I1": [ 515 ],
+ "I2": [ 557 ],
+ "I3": [ 563 ],
+ "O": [ 886 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011100111110101"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 706 ],
+ "I1": [ 515 ],
+ "I2": [ 563 ],
+ "I3": [ 593 ],
+ "O": [ 877 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011000111110101"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 706 ],
+ "I1": [ 515 ],
+ "I2": [ 563 ],
+ "I3": [ 593 ],
+ "O": [ 878 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011111000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 842 ],
+ "I1": [ 516 ],
+ "I2": [ 876 ],
+ "I3": [ 991 ],
+ "O": [ 992 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2_I3_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0101111100010011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 884 ],
+ "I1": [ 833 ],
+ "I2": [ 542 ],
+ "I3": [ 557 ],
+ "O": [ 991 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100000000111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 993 ],
+ "I2": [ 516 ],
+ "I3": [ 992 ],
+ "O": [ 994 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I3_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100000000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 993 ],
+ "I2": [ 516 ],
+ "I3": [ 992 ],
+ "O": [ 614 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I3_1_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 995 ],
+ "I2": [ 996 ],
+ "I3": [ 997 ],
+ "O": [ 615 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I3_1_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000000001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 994 ],
+ "I3": [ 998 ],
+ "O": [ 616 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I3_1_O_SB_LUT4_O_2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 999 ],
+ "I3": [ 1000 ],
+ "O": [ 617 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 994 ],
+ "I3": [ 998 ],
+ "O": [ 1001 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011111100000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1002 ],
+ "I2": [ 1003 ],
+ "I3": [ 1001 ],
+ "O": [ 1004 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1005 ],
+ "I2": [ 1006 ],
+ "I3": [ 1007 ],
+ "O": [ 1002 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100000000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1008 ],
+ "I2": [ 881 ],
+ "I3": [ 879 ],
+ "O": [ 1003 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_1_I1_SB_LUT4_I1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110111011100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 880 ],
+ "I1": [ 1008 ],
+ "I2": [ 881 ],
+ "I3": [ 879 ],
+ "O": [ 1009 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_1_I1_SB_LUT4_I1_O_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 1010 ],
+ "I3": [ 1009 ],
+ "O": [ 907 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_1_I1_SB_LUT4_I1_O_SB_LUT4_I2_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 1010 ],
+ "I3": [ 1009 ],
+ "O": [ 1011 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_1_I1_SB_LUT4_I1_O_SB_LUT4_I2_1_O_SB_LUT4_I1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1011 ],
+ "I2": [ 1012 ],
+ "I3": [ 1013 ],
+ "O": [ 647 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_1_I1_SB_LUT4_I1_O_SB_LUT4_I2_1_O_SB_LUT4_I1_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000110011001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 914 ],
+ "I2": [ 915 ],
+ "I3": [ 916 ],
+ "O": [ 646 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_1_I1_SB_LUT4_I1_O_SB_LUT4_I2_1_O_SB_LUT4_I1_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 652 ],
+ "I2": [ 653 ],
+ "I3": [ 654 ],
+ "O": [ 648 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_1_I1_SB_LUT4_I1_O_SB_LUT4_I2_1_O_SB_LUT4_I1_O_SB_LUT4_O_1_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100001100111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1014 ],
+ "I2": [ 873 ],
+ "I3": [ 862 ],
+ "O": [ 654 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_1_I1_SB_LUT4_I1_O_SB_LUT4_I2_1_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1002 ],
+ "I2": [ 1003 ],
+ "I3": [ 1001 ],
+ "O": [ 1012 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_1_I1_SB_LUT4_I1_O_SB_LUT4_I2_1_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100000011111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1015 ],
+ "I2": [ 1016 ],
+ "I3": [ 1017 ],
+ "O": [ 1013 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_1_I1_SB_LUT4_I1_O_SB_LUT4_I2_1_O_SB_LUT4_O_1_I1_SB_LUT4_I1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100001100111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1015 ],
+ "I2": [ 1016 ],
+ "I3": [ 1017 ],
+ "O": [ 916 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_1_I1_SB_LUT4_I1_O_SB_LUT4_I2_1_O_SB_LUT4_O_1_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011000011110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 540 ],
+ "I2": [ 1018 ],
+ "I3": [ 816 ],
+ "O": [ 1015 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_1_I1_SB_LUT4_I1_O_SB_LUT4_I2_1_O_SB_LUT4_O_1_I1_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011000011110011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 882 ],
+ "I2": [ 898 ],
+ "I3": [ 883 ],
+ "O": [ 1016 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_1_I1_SB_LUT4_I1_O_SB_LUT4_I2_1_O_SB_LUT4_O_1_I1_SB_LUT4_O_2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 870 ],
+ "I2": [ 871 ],
+ "I3": [ 872 ],
+ "O": [ 1017 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_1_I1_SB_LUT4_I1_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1019 ],
+ "I2": [ 1020 ],
+ "I3": [ 1021 ],
+ "O": [ 1010 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_1_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100000000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 884 ],
+ "I2": [ 833 ],
+ "I3": [ 1022 ],
+ "O": [ 1008 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000001100111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 868 ],
+ "I2": [ 867 ],
+ "I3": [ 869 ],
+ "O": [ 998 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0101111110010011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 884 ],
+ "I1": [ 833 ],
+ "I2": [ 542 ],
+ "I3": [ 557 ],
+ "O": [ 876 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0101100111110011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 706 ],
+ "I1": [ 515 ],
+ "I2": [ 844 ],
+ "I3": [ 593 ],
+ "O": [ 865 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0101000111110011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 706 ],
+ "I1": [ 515 ],
+ "I2": [ 844 ],
+ "I3": [ 593 ],
+ "O": [ 866 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011111000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 842 ],
+ "I1": [ 542 ],
+ "I2": [ 864 ],
+ "I3": [ 1023 ],
+ "O": [ 1024 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2_I3_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111010100110001"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 884 ],
+ "I1": [ 833 ],
+ "I2": [ 557 ],
+ "I3": [ 563 ],
+ "O": [ 1023 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000111111110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 1025 ],
+ "I3": [ 1024 ],
+ "O": [ 999 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I2_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000111100000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 1025 ],
+ "I3": [ 1024 ],
+ "O": [ 1026 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I2_1_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100001100111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1027 ],
+ "I2": [ 1028 ],
+ "I3": [ 1029 ],
+ "O": [ 1030 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I2_1_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000001100111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 848 ],
+ "I2": [ 849 ],
+ "I3": [ 847 ],
+ "O": [ 1031 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111001100110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 614 ],
+ "I2": [ 999 ],
+ "I3": [ 1000 ],
+ "O": [ 1032 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_I1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1033 ],
+ "I2": [ 1032 ],
+ "I3": [ 1034 ],
+ "O": [ 611 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100001100111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1035 ],
+ "I2": [ 1036 ],
+ "I3": [ 1037 ],
+ "O": [ 1033 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1026 ],
+ "I2": [ 1030 ],
+ "I3": [ 1031 ],
+ "O": [ 1034 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000001100111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 857 ],
+ "I2": [ 858 ],
+ "I3": [ 856 ],
+ "O": [ 1000 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1001010100111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1038 ],
+ "I1": [ 993 ],
+ "I2": [ 542 ],
+ "I3": [ 516 ],
+ "O": [ 1025 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111010100111001"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 884 ],
+ "I1": [ 833 ],
+ "I2": [ 557 ],
+ "I3": [ 563 ],
+ "O": [ 864 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111010100111001"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 706 ],
+ "I1": [ 515 ],
+ "I2": [ 844 ],
+ "I3": [ 823 ],
+ "O": [ 854 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111010100110001"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 706 ],
+ "I1": [ 515 ],
+ "I2": [ 844 ],
+ "I3": [ 823 ],
+ "O": [ 855 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011110010"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 842 ],
+ "I1": [ 557 ],
+ "I2": [ 853 ],
+ "I3": [ 1039 ],
+ "O": [ 1029 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2_I3_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011000111110101"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 884 ],
+ "I1": [ 833 ],
+ "I2": [ 563 ],
+ "I3": [ 593 ],
+ "O": [ 1039 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1000000000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1038 ],
+ "I1": [ 993 ],
+ "I2": [ 542 ],
+ "I3": [ 516 ],
+ "O": [ 1027 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100000000111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1040 ],
+ "I2": [ 516 ],
+ "I3": [ 1041 ],
+ "O": [ 1028 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011100111110101"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 884 ],
+ "I1": [ 833 ],
+ "I2": [ 563 ],
+ "I3": [ 593 ],
+ "O": [ 853 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011100111110101"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 706 ],
+ "I1": [ 515 ],
+ "I2": [ 823 ],
+ "I3": [ 819 ],
+ "O": [ 845 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011000111110101"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 706 ],
+ "I1": [ 515 ],
+ "I2": [ 823 ],
+ "I3": [ 819 ],
+ "O": [ 846 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011110010"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 842 ],
+ "I1": [ 563 ],
+ "I2": [ 843 ],
+ "I3": [ 1042 ],
+ "O": [ 1043 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2_I3_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0101000111110011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 884 ],
+ "I1": [ 833 ],
+ "I2": [ 844 ],
+ "I3": [ 593 ],
+ "O": [ 1042 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1001111101100000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 884 ],
+ "I1": [ 833 ],
+ "I2": [ 706 ],
+ "I3": [ 1044 ],
+ "O": [ 1045 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000111011001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 803 ],
+ "I1": [ 1046 ],
+ "I2": [ 1047 ],
+ "I3": [ 1048 ],
+ "O": [ 1049 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_O_2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011100111000110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 993 ],
+ "I1": [ 1050 ],
+ "I2": [ 563 ],
+ "I3": [ 1051 ],
+ "O": [ 1052 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_O_2_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0101111110010011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1040 ],
+ "I1": [ 1038 ],
+ "I2": [ 542 ],
+ "I3": [ 557 ],
+ "O": [ 1050 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_O_2_I1_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011111000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1040 ],
+ "I1": [ 516 ],
+ "I2": [ 1041 ],
+ "I3": [ 1053 ],
+ "O": [ 1051 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_O_2_I1_SB_LUT4_O_1_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0101111110010011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1038 ],
+ "I1": [ 993 ],
+ "I2": [ 542 ],
+ "I3": [ 557 ],
+ "O": [ 1041 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_O_2_I1_SB_LUT4_O_1_I3_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0101111100010011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1038 ],
+ "I1": [ 993 ],
+ "I2": [ 542 ],
+ "I3": [ 557 ],
+ "O": [ 1053 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_O_I3_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011111111000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 993 ],
+ "I2": [ 587 ],
+ "I3": [ 1046 ],
+ "O": [ 1044 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0101100111110011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 884 ],
+ "I1": [ 833 ],
+ "I2": [ 844 ],
+ "I3": [ 593 ],
+ "O": [ 843 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1001001101011111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 706 ],
+ "I1": [ 515 ],
+ "I2": [ 819 ],
+ "I3": [ 816 ],
+ "O": [ 836 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I3_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0001001101011111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 706 ],
+ "I1": [ 515 ],
+ "I2": [ 819 ],
+ "I3": [ 816 ],
+ "O": [ 837 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0101100111110011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 842 ],
+ "I1": [ 884 ],
+ "I2": [ 844 ],
+ "I3": [ 593 ],
+ "O": [ 834 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_I3_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0001001101011111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 539 ],
+ "I1": [ 529 ],
+ "I2": [ 768 ],
+ "I3": [ 740 ],
+ "O": [ 829 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000111111110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 804 ],
+ "I3": [ 805 ],
+ "O": [ 607 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_I1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100001100111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 607 ],
+ "I2": [ 608 ],
+ "I3": [ 609 ],
+ "O": [ 630 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000111100000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 1054 ],
+ "I3": [ 1055 ],
+ "O": [ 608 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100111100001100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1004 ],
+ "I2": [ 1056 ],
+ "I3": [ 1057 ],
+ "O": [ 609 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_1_I1_SB_LUT4_I1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100001100111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1004 ],
+ "I2": [ 1056 ],
+ "I3": [ 1057 ],
+ "O": [ 650 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_1_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011011011001001"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 614 ],
+ "I1": [ 615 ],
+ "I2": [ 616 ],
+ "I3": [ 617 ],
+ "O": [ 1056 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_1_I1_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100000011111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1014 ],
+ "I2": [ 873 ],
+ "I3": [ 862 ],
+ "O": [ 1057 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_1_I1_SB_LUT4_O_1_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000100100000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 540 ],
+ "I1": [ 766 ],
+ "I2": [ 1058 ],
+ "I3": [ 812 ],
+ "O": [ 1014 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000111111110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 1054 ],
+ "I3": [ 1055 ],
+ "O": [ 643 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100001100111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 643 ],
+ "I2": [ 644 ],
+ "I3": [ 645 ],
+ "O": [ 456 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000000001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 1059 ],
+ "I3": [ 1060 ],
+ "O": [ 644 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011111100000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1011 ],
+ "I2": [ 1012 ],
+ "I3": [ 1013 ],
+ "O": [ 645 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 1059 ],
+ "I3": [ 1060 ],
+ "O": [ 1061 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111001100110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1061 ],
+ "I2": [ 1062 ],
+ "I3": [ 1063 ],
+ "O": [ 443 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I1_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100001100111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1061 ],
+ "I2": [ 1062 ],
+ "I3": [ 1063 ],
+ "O": [ 453 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I1_1_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000110011001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 913 ],
+ "I2": [ 909 ],
+ "I3": [ 917 ],
+ "O": [ 454 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I1_1_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 646 ],
+ "I2": [ 647 ],
+ "I3": [ 648 ],
+ "O": [ 455 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 1064 ],
+ "I3": [ 1065 ],
+ "O": [ 1062 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111001100110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 906 ],
+ "I2": [ 907 ],
+ "I3": [ 908 ],
+ "O": [ 1063 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100001100111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1066 ],
+ "I2": [ 1067 ],
+ "I3": [ 1068 ],
+ "O": [ 1059 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000111100000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1069 ],
+ "I2": [ 1070 ],
+ "I3": [ 1071 ],
+ "O": [ 1060 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000000001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 1070 ],
+ "I3": [ 1071 ],
+ "O": [ 1065 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000111100000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 1072 ],
+ "I3": [ 1073 ],
+ "O": [ 1064 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 884 ],
+ "I2": [ 540 ],
+ "I3": [ 1074 ],
+ "O": [ 1069 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I3_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0111000011110111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 515 ],
+ "I1": [ 539 ],
+ "I2": [ 1075 ],
+ "I3": [ 1076 ],
+ "O": [ 1074 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I3_SB_LUT4_O_I2_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1000011101111000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 515 ],
+ "I1": [ 539 ],
+ "I2": [ 1075 ],
+ "I3": [ 1076 ],
+ "O": [ 985 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I3_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000111111110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 1077 ],
+ "I3": [ 1078 ],
+ "O": [ 984 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I3_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000110011001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 587 ],
+ "I2": [ 1079 ],
+ "I3": [ 1080 ],
+ "O": [ 986 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I3_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I3_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100111100001100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 983 ],
+ "I2": [ 1077 ],
+ "I3": [ 1078 ],
+ "O": [ 988 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I3_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1001011001101001"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 515 ],
+ "I1": [ 1081 ],
+ "I2": [ 1082 ],
+ "I3": [ 1083 ],
+ "O": [ 987 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I3_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0111100010000111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 515 ],
+ "I1": [ 587 ],
+ "I2": [ 1084 ],
+ "I3": [ 1085 ],
+ "O": [ 989 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I3_SB_LUT4_O_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0111100010000111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 833 ],
+ "I1": [ 540 ],
+ "I2": [ 983 ],
+ "I3": [ 1086 ],
+ "O": [ 1075 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I3_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 1086 ],
+ "I3": [ 498 ],
+ "O": [ 1076 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I3_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000000001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 1077 ],
+ "I3": [ 1076 ],
+ "O": [ 1080 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I3_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000000111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 515 ],
+ "I2": [ 529 ],
+ "I3": [ 498 ],
+ "O": [ 1079 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I3_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0001010100111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 835 ],
+ "I1": [ 706 ],
+ "I2": [ 529 ],
+ "I3": [ 540 ],
+ "O": [ 1077 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I3_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100000000111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 833 ],
+ "I2": [ 540 ],
+ "I3": [ 1086 ],
+ "O": [ 1078 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I3_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_I3_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000011101111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 833 ],
+ "I1": [ 540 ],
+ "I2": [ 983 ],
+ "I3": [ 1086 ],
+ "O": [ 1084 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I3_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_I3_1_O_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111011101110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 515 ],
+ "I1": [ 587 ],
+ "I2": [ 1084 ],
+ "I3": [ 1085 ],
+ "O": [ 1087 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I3_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_I3_1_O_SB_LUT4_I2_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000000001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 1088 ],
+ "I3": [ 1089 ],
+ "O": [ 1090 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I3_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_I3_1_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011111111000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 833 ],
+ "I2": [ 529 ],
+ "I3": [ 1082 ],
+ "O": [ 1085 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I3_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_I3_2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000000111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 833 ],
+ "I2": [ 540 ],
+ "I3": [ 1086 ],
+ "O": [ 1083 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I3_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_I3_2_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1001010100111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 884 ],
+ "I1": [ 833 ],
+ "I2": [ 529 ],
+ "I3": [ 540 ],
+ "O": [ 1081 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I3_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_I3_2_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1001010100111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 835 ],
+ "I1": [ 706 ],
+ "I2": [ 587 ],
+ "I3": [ 539 ],
+ "O": [ 1082 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I3_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 835 ],
+ "I3": [ 529 ],
+ "O": [ 1086 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000111111110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 1072 ],
+ "I3": [ 1073 ],
+ "O": [ 1091 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000111111110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 1091 ],
+ "I3": [ 1092 ],
+ "O": [ 1093 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I2_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000111100000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 1091 ],
+ "I3": [ 1092 ],
+ "O": [ 1094 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I2_1_O_SB_LUT4_I1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111110011000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1094 ],
+ "I2": [ 1095 ],
+ "I3": [ 1096 ],
+ "O": [ 450 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I2_1_O_SB_LUT4_I1_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1094 ],
+ "I2": [ 1095 ],
+ "I3": [ 1096 ],
+ "O": [ 466 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I2_1_O_SB_LUT4_I1_1_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000110011001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 932 ],
+ "I2": [ 923 ],
+ "I3": [ 924 ],
+ "O": [ 467 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I2_1_O_SB_LUT4_I1_1_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 913 ],
+ "I2": [ 909 ],
+ "I3": [ 917 ],
+ "O": [ 468 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I2_1_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000001111111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1069 ],
+ "I2": [ 1064 ],
+ "I3": [ 1065 ],
+ "O": [ 1095 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I2_1_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100111100001100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 905 ],
+ "I2": [ 921 ],
+ "I3": [ 922 ],
+ "O": [ 1096 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_I1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000110011001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1097 ],
+ "I2": [ 1093 ],
+ "I3": [ 1098 ],
+ "O": [ 463 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_I1_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1097 ],
+ "I2": [ 1093 ],
+ "I3": [ 1098 ],
+ "O": [ 476 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100000000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 498 ],
+ "I2": [ 499 ],
+ "I3": [ 500 ],
+ "O": [ 1097 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000000001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 973 ],
+ "I3": [ 974 ],
+ "O": [ 1098 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000000001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 1099 ],
+ "I3": [ 1100 ],
+ "O": [ 1101 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 706 ],
+ "I3": [ 540 ],
+ "O": [ 498 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 587 ],
+ "I3": [ 529 ],
+ "O": [ 1099 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 515 ],
+ "I3": [ 540 ],
+ "O": [ 1100 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 498 ],
+ "I3": [ 1101 ],
+ "O": [ 501 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111110011000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 539 ],
+ "I2": [ 1099 ],
+ "I3": [ 1100 ],
+ "O": [ 499 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1000001011010111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 539 ],
+ "I1": [ 1099 ],
+ "I2": [ 1100 ],
+ "I3": [ 1102 ],
+ "O": [ 500 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_2_I3_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 539 ],
+ "I3": [ 1102 ],
+ "O": [ 531 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_2_I3_SB_LUT4_I3_O_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000001101111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 587 ],
+ "I1": [ 529 ],
+ "I2": [ 530 ],
+ "I3": [ 531 ],
+ "O": [ 532 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_2_I3_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0001010100111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 587 ],
+ "I1": [ 539 ],
+ "I2": [ 529 ],
+ "I3": [ 540 ],
+ "O": [ 1102 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 1103 ],
+ "I3": [ 1104 ],
+ "O": [ 1092 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I0": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0001001100010010"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1103 ],
+ "I1": [ 1097 ],
+ "I2": [ 1104 ],
+ "I3": [ 1105 ],
+ "O": [ 1106 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I0_I3_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000001111000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 587 ],
+ "I1": [ 539 ],
+ "I2": [ 1080 ],
+ "I3": [ 1107 ],
+ "O": [ 1105 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I0_O_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 1106 ],
+ "I3": [ 1108 ],
+ "O": [ 473 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I0_O_SB_LUT4_I2_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000111111110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 1106 ],
+ "I3": [ 1108 ],
+ "O": [ 1109 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I0_O_SB_LUT4_I2_1_O_SB_LUT4_I1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1109 ],
+ "I2": [ 1110 ],
+ "I3": [ 1111 ],
+ "O": [ 485 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I0_O_SB_LUT4_I2_1_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100000011111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1112 ],
+ "I2": [ 1113 ],
+ "I3": [ 1114 ],
+ "O": [ 1110 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I0_O_SB_LUT4_I2_1_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100001100111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 976 ],
+ "I2": [ 975 ],
+ "I3": [ 977 ],
+ "O": [ 1111 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I0_O_SB_LUT4_I2_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011000011110011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1109 ],
+ "I2": [ 1110 ],
+ "I3": [ 1111 ],
+ "O": [ 474 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I0_O_SB_LUT4_I2_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 476 ],
+ "I2": [ 477 ],
+ "I3": [ 478 ],
+ "O": [ 475 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I0_O_SB_LUT4_I2_O_SB_LUT4_O_1_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 932 ],
+ "I2": [ 923 ],
+ "I3": [ 924 ],
+ "O": [ 478 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I0_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 1115 ],
+ "I3": [ 1116 ],
+ "O": [ 1108 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000111111110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 1115 ],
+ "I3": [ 1116 ],
+ "O": [ 1113 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100001100111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1112 ],
+ "I2": [ 1113 ],
+ "I3": [ 1114 ],
+ "O": [ 497 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I1_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000111111110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 493 ],
+ "I3": [ 494 ],
+ "O": [ 495 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I1_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100000011111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 504 ],
+ "I2": [ 507 ],
+ "I3": [ 511 ],
+ "O": [ 496 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011000011110011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 508 ],
+ "I2": [ 509 ],
+ "I3": [ 510 ],
+ "O": [ 1112 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 958 ],
+ "I2": [ 955 ],
+ "I3": [ 961 ],
+ "O": [ 1114 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011100111000110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 540 ],
+ "I1": [ 956 ],
+ "I2": [ 844 ],
+ "I3": [ 957 ],
+ "O": [ 508 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011111100000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 540 ],
+ "I2": [ 593 ],
+ "I3": [ 594 ],
+ "O": [ 509 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1000011101111000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 587 ],
+ "I1": [ 539 ],
+ "I2": [ 1080 ],
+ "I3": [ 1107 ],
+ "O": [ 1115 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 1117 ],
+ "I3": [ 1118 ],
+ "O": [ 1116 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000111111110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 1117 ],
+ "I3": [ 1118 ],
+ "O": [ 510 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0111000011110111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 515 ],
+ "I1": [ 516 ],
+ "I2": [ 513 ],
+ "I3": [ 517 ],
+ "O": [ 1117 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100001100111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 978 ],
+ "I2": [ 979 ],
+ "I3": [ 980 ],
+ "O": [ 1118 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_1_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1001010100111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 706 ],
+ "I1": [ 515 ],
+ "I2": [ 542 ],
+ "I3": [ 516 ],
+ "O": [ 978 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_1_I1_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0111000010000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 587 ],
+ "I1": [ 542 ],
+ "I2": [ 589 ],
+ "I3": [ 590 ],
+ "O": [ 979 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0010110111010010"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 587 ],
+ "I1": [ 557 ],
+ "I2": [ 902 ],
+ "I3": [ 1119 ],
+ "O": [ 980 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000000111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 541 ],
+ "I2": [ 535 ],
+ "I3": [ 584 ],
+ "O": [ 513 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I2_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0111100010000111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 587 ],
+ "I1": [ 542 ],
+ "I2": [ 589 ],
+ "I3": [ 590 ],
+ "O": [ 517 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100000000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 587 ],
+ "I2": [ 539 ],
+ "I3": [ 1080 ],
+ "O": [ 1103 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000110000000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 587 ],
+ "I2": [ 1120 ],
+ "I3": [ 1121 ],
+ "O": [ 1104 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 587 ],
+ "I2": [ 1120 ],
+ "I3": [ 1121 ],
+ "O": [ 1107 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0111111101110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 515 ],
+ "I1": [ 529 ],
+ "I2": [ 498 ],
+ "I3": [ 1101 ],
+ "O": [ 1120 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 1079 ],
+ "I3": [ 1080 ],
+ "O": [ 1121 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011111111000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 884 ],
+ "I2": [ 540 ],
+ "I3": [ 1074 ],
+ "O": [ 1072 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1001111100001001"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 983 ],
+ "I1": [ 984 ],
+ "I2": [ 985 ],
+ "I3": [ 986 ],
+ "O": [ 1073 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I0_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 706 ],
+ "I3": [ 539 ],
+ "O": [ 983 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 1122 ],
+ "I3": [ 1123 ],
+ "O": [ 1070 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000111100000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 1122 ],
+ "I3": [ 1123 ],
+ "O": [ 1071 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011000011110011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 987 ],
+ "I2": [ 988 ],
+ "I3": [ 989 ],
+ "O": [ 1122 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I2_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000111111110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 1090 ],
+ "I3": [ 1087 ],
+ "O": [ 1123 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100001100111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1124 ],
+ "I2": [ 1125 ],
+ "I3": [ 1126 ],
+ "O": [ 1054 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100000011111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1066 ],
+ "I2": [ 1067 ],
+ "I3": [ 1068 ],
+ "O": [ 1055 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100001100111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1127 ],
+ "I2": [ 1128 ],
+ "I3": [ 1129 ],
+ "O": [ 804 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111001100110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1124 ],
+ "I2": [ 1125 ],
+ "I3": [ 1126 ],
+ "O": [ 805 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1000111001110001"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1127 ],
+ "I1": [ 1128 ],
+ "I2": [ 1129 ],
+ "I3": [ 1130 ],
+ "O": [ 806 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1011001001001101"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1048 ],
+ "I1": [ 1131 ],
+ "I2": [ 1132 ],
+ "I3": [ 1133 ],
+ "O": [ 1130 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I1_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1048 ],
+ "I2": [ 1131 ],
+ "I3": [ 1132 ],
+ "O": [ 1134 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_I1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1135 ],
+ "I2": [ 1136 ],
+ "I3": [ 1134 ],
+ "O": [ 1129 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000110011001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1137 ],
+ "I2": [ 1138 ],
+ "I3": [ 1139 ],
+ "O": [ 1135 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0111000011110111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1038 ],
+ "I1": [ 540 ],
+ "I2": [ 1140 ],
+ "I3": [ 1141 ],
+ "O": [ 1136 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1001010100111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1038 ],
+ "I1": [ 993 ],
+ "I2": [ 529 ],
+ "I3": [ 540 ],
+ "O": [ 1142 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 833 ],
+ "I3": [ 515 ],
+ "O": [ 1137 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0001001101111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 833 ],
+ "I1": [ 706 ],
+ "I2": [ 587 ],
+ "I3": [ 1143 ],
+ "O": [ 1138 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000011101111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 833 ],
+ "I1": [ 587 ],
+ "I2": [ 1144 ],
+ "I3": [ 1143 ],
+ "O": [ 1139 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 835 ],
+ "I3": [ 515 ],
+ "O": [ 1143 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 884 ],
+ "I3": [ 539 ],
+ "O": [ 1144 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000000001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 803 ],
+ "I3": [ 1046 ],
+ "O": [ 1131 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I1_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011110111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 993 ],
+ "I1": [ 529 ],
+ "I2": [ 1145 ],
+ "I3": [ 1146 ],
+ "O": [ 1132 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0010101111010100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1135 ],
+ "I1": [ 1136 ],
+ "I2": [ 1134 ],
+ "I3": [ 1147 ],
+ "O": [ 1133 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0100110110110010"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1035 ],
+ "I1": [ 1036 ],
+ "I2": [ 1037 ],
+ "I3": [ 1148 ],
+ "O": [ 1147 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0111010110001010"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 835 ],
+ "I1": [ 706 ],
+ "I2": [ 1149 ],
+ "I3": [ 1150 ],
+ "O": [ 1148 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000110011110011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 835 ],
+ "I2": [ 706 ],
+ "I3": [ 1149 ],
+ "O": [ 1037 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_I3_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1000010011101101"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1137 ],
+ "I1": [ 1142 ],
+ "I2": [ 1145 ],
+ "I3": [ 1151 ],
+ "O": [ 1035 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_I3_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011111011000001"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 803 ],
+ "I1": [ 1046 ],
+ "I2": [ 1047 ],
+ "I3": [ 1048 ],
+ "O": [ 1036 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011110011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1137 ],
+ "I2": [ 1145 ],
+ "I3": [ 1146 ],
+ "O": [ 1149 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1001011001101001"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1137 ],
+ "I1": [ 1142 ],
+ "I2": [ 1145 ],
+ "I3": [ 1151 ],
+ "O": [ 995 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011000011110011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 995 ],
+ "I2": [ 996 ],
+ "I3": [ 997 ],
+ "O": [ 1128 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000100110011111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1152 ],
+ "I1": [ 1153 ],
+ "I2": [ 1088 ],
+ "I3": [ 1154 ],
+ "O": [ 996 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1137 ],
+ "I2": [ 1138 ],
+ "I3": [ 1139 ],
+ "O": [ 997 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I0_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0111100010000111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 833 ],
+ "I1": [ 587 ],
+ "I2": [ 1144 ],
+ "I3": [ 1143 ],
+ "O": [ 1154 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I0_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 993 ],
+ "I3": [ 540 ],
+ "O": [ 1152 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000000001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 1152 ],
+ "I3": [ 1153 ],
+ "O": [ 1151 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 842 ],
+ "I3": [ 529 ],
+ "O": [ 1153 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100000000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 884 ],
+ "I2": [ 540 ],
+ "I3": [ 1153 ],
+ "O": [ 1089 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0001010100111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 842 ],
+ "I1": [ 884 ],
+ "I2": [ 529 ],
+ "I3": [ 540 ],
+ "O": [ 1088 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011111111000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 993 ],
+ "I2": [ 529 ],
+ "I3": [ 1145 ],
+ "O": [ 1140 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_I3_O_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1000011101111000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1038 ],
+ "I1": [ 540 ],
+ "I2": [ 1140 ],
+ "I3": [ 1141 ],
+ "O": [ 1155 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011000011110011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1156 ],
+ "I2": [ 1157 ],
+ "I3": [ 1155 ],
+ "O": [ 1127 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000011101111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 833 ],
+ "I1": [ 539 ],
+ "I2": [ 1158 ],
+ "I3": [ 1159 ],
+ "O": [ 1156 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100000000110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1152 ],
+ "I2": [ 1089 ],
+ "I3": [ 1160 ],
+ "O": [ 1157 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_1_I3_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100001100111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1152 ],
+ "I2": [ 1089 ],
+ "I3": [ 1160 ],
+ "O": [ 1161 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_1_I3_SB_LUT4_I3_O_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000111100000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 1161 ],
+ "I3": [ 1162 ],
+ "O": [ 1126 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_1_I3_SB_LUT4_I3_O_SB_LUT4_I2_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000111111110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 1161 ],
+ "I3": [ 1162 ],
+ "O": [ 1068 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_1_I3_SB_LUT4_I3_O_SB_LUT4_I2_1_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 1090 ],
+ "I3": [ 1087 ],
+ "O": [ 1066 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_1_I3_SB_LUT4_I3_O_SB_LUT4_I2_1_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000110011001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1019 ],
+ "I2": [ 1020 ],
+ "I3": [ 1021 ],
+ "O": [ 1067 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_1_I3_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1156 ],
+ "I2": [ 1157 ],
+ "I3": [ 1155 ],
+ "O": [ 1124 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_1_I3_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011111100000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1005 ],
+ "I2": [ 1006 ],
+ "I3": [ 1007 ],
+ "O": [ 1125 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_1_I3_SB_LUT4_I3_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000001100111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1163 ],
+ "I2": [ 1164 ],
+ "I3": [ 1165 ],
+ "O": [ 1162 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_1_I3_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 1144 ],
+ "I3": [ 1153 ],
+ "O": [ 1160 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 1158 ],
+ "I3": [ 983 ],
+ "O": [ 1166 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0111100010000111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 833 ],
+ "I1": [ 539 ],
+ "I2": [ 1158 ],
+ "I3": [ 1159 ],
+ "O": [ 1163 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_1_O_SB_LUT4_I1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000001100111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1167 ],
+ "I2": [ 1163 ],
+ "I3": [ 1090 ],
+ "O": [ 1007 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_1_O_SB_LUT4_I1_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110110010010011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 833 ],
+ "I1": [ 706 ],
+ "I2": [ 587 ],
+ "I3": [ 1143 ],
+ "O": [ 1005 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_1_O_SB_LUT4_I1_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1152 ],
+ "I1": [ 1153 ],
+ "I2": [ 1088 ],
+ "I3": [ 1154 ],
+ "O": [ 1006 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_1_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0001010100111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 884 ],
+ "I1": [ 833 ],
+ "I2": [ 529 ],
+ "I3": [ 540 ],
+ "O": [ 1167 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011110011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 515 ],
+ "I2": [ 1082 ],
+ "I3": [ 1166 ],
+ "O": [ 1164 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I3_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011110111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 833 ],
+ "I1": [ 529 ],
+ "I2": [ 1082 ],
+ "I3": [ 1166 ],
+ "O": [ 1165 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100001100111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1163 ],
+ "I2": [ 1164 ],
+ "I3": [ 1165 ],
+ "O": [ 1021 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1000010011101101"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 515 ],
+ "I1": [ 1081 ],
+ "I2": [ 1082 ],
+ "I3": [ 1083 ],
+ "O": [ 1019 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100001100111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1167 ],
+ "I2": [ 1163 ],
+ "I3": [ 1090 ],
+ "O": [ 1020 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 835 ],
+ "I3": [ 587 ],
+ "O": [ 1158 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I3_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 1159 ],
+ "I3": [ 1022 ],
+ "O": [ 982 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1000011101111000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 835 ],
+ "I1": [ 516 ],
+ "I2": [ 981 ],
+ "I3": [ 982 ],
+ "O": [ 970 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011010010"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 587 ],
+ "I1": [ 557 ],
+ "I2": [ 902 ],
+ "I3": [ 1119 ],
+ "O": [ 971 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0010110111010010"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 587 ],
+ "I1": [ 563 ],
+ "I2": [ 894 ],
+ "I3": [ 895 ],
+ "O": [ 972 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011111000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 587 ],
+ "I1": [ 542 ],
+ "I2": [ 590 ],
+ "I3": [ 1168 ],
+ "O": [ 1119 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011100111110101"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 539 ],
+ "I1": [ 529 ],
+ "I2": [ 563 ],
+ "I3": [ 593 ],
+ "O": [ 902 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111010100110001"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 539 ],
+ "I1": [ 529 ],
+ "I2": [ 557 ],
+ "I3": [ 563 ],
+ "O": [ 1168 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0101111110010011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 706 ],
+ "I1": [ 515 ],
+ "I2": [ 542 ],
+ "I3": [ 557 ],
+ "O": [ 981 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I3_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 706 ],
+ "I3": [ 515 ],
+ "O": [ 1159 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_I3_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111110011000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1144 ],
+ "I2": [ 1152 ],
+ "I3": [ 1153 ],
+ "O": [ 1141 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1001010100111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 842 ],
+ "I1": [ 884 ],
+ "I2": [ 587 ],
+ "I3": [ 539 ],
+ "O": [ 1145 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100000000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 842 ],
+ "I2": [ 587 ],
+ "I3": [ 1144 ],
+ "O": [ 1146 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1000011101111000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 993 ],
+ "I1": [ 587 ],
+ "I2": [ 1169 ],
+ "I3": [ 1170 ],
+ "O": [ 1150 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1171 ],
+ "I1": [ 1172 ],
+ "I2": [ 1170 ],
+ "I3": [ 1173 ],
+ "O": [ 1174 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_I2_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011111111000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 842 ],
+ "I2": [ 515 ],
+ "I3": [ 1175 ],
+ "O": [ 1172 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_I2_I1_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000001100111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1027 ],
+ "I2": [ 1028 ],
+ "I3": [ 1029 ],
+ "O": [ 1173 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_I2_I1_SB_LUT4_O_I3_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000110011000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1176 ],
+ "I2": [ 516 ],
+ "I3": [ 540 ],
+ "O": [ 1175 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100001100111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1177 ],
+ "I2": [ 1174 ],
+ "I3": [ 1178 ],
+ "O": [ 1179 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I1_O_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1011001001001101"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1033 ],
+ "I1": [ 1032 ],
+ "I2": [ 1034 ],
+ "I3": [ 1179 ],
+ "O": [ 1180 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1110100000010111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 839 ],
+ "I1": [ 840 ],
+ "I2": [ 838 ],
+ "I3": [ 1180 ],
+ "O": [ 1181 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_I0": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1001011001101001"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1182 ],
+ "I1": [ 1183 ],
+ "I2": [ 1181 ],
+ "I3": [ 1184 ],
+ "O": [ 604 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1001011001101001"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 741 ],
+ "I1": [ 743 ],
+ "I2": [ 807 ],
+ "I3": [ 811 ],
+ "O": [ 1182 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1000111001110001"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 841 ],
+ "I1": [ 815 ],
+ "I2": [ 1185 ],
+ "I3": [ 1186 ],
+ "O": [ 1183 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_1_I0_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000001111000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 587 ],
+ "I1": [ 816 ],
+ "I2": [ 817 ],
+ "I3": [ 822 ],
+ "O": [ 1185 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100001100111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1187 ],
+ "I2": [ 1188 ],
+ "I3": [ 1189 ],
+ "O": [ 1186 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_O_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100000011111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 796 ],
+ "I2": [ 797 ],
+ "I3": [ 798 ],
+ "O": [ 1187 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_O_I1_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100001100111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 764 ],
+ "I2": [ 1190 ],
+ "I3": [ 1191 ],
+ "O": [ 1188 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_O_I1_SB_LUT4_O_1_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100001100111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1192 ],
+ "I2": [ 1193 ],
+ "I3": [ 1194 ],
+ "O": [ 1190 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_O_I1_SB_LUT4_O_1_I1_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0111100000011110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 748 ],
+ "I1": [ 752 ],
+ "I2": [ 1195 ],
+ "I3": [ 744 ],
+ "O": [ 1191 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_O_I1_SB_LUT4_O_1_I1_SB_LUT4_O_1_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011111100000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1196 ],
+ "I2": [ 1197 ],
+ "I3": [ 1198 ],
+ "O": [ 1195 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_O_I1_SB_LUT4_O_1_I1_SB_LUT4_O_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1000011101111000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 754 ],
+ "I1": [ 702 ],
+ "I2": [ 1199 ],
+ "I3": [ 1200 ],
+ "O": [ 1192 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_O_I1_SB_LUT4_O_1_I1_SB_LUT4_O_I1_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000100110011111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1201 ],
+ "I1": [ 1202 ],
+ "I2": [ 1203 ],
+ "I3": [ 752 ],
+ "O": [ 1193 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_O_I1_SB_LUT4_O_1_I1_SB_LUT4_O_I1_SB_LUT4_O_1_I1_SB_LUT4_I1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1201 ],
+ "I1": [ 1202 ],
+ "I2": [ 1203 ],
+ "I3": [ 752 ],
+ "O": [ 1197 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_O_I1_SB_LUT4_O_1_I1_SB_LUT4_O_I1_SB_LUT4_O_1_I1_SB_LUT4_I1_O_SB_LUT4_I1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1196 ],
+ "I2": [ 1197 ],
+ "I3": [ 1198 ],
+ "O": [ 1204 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_O_I1_SB_LUT4_O_1_I1_SB_LUT4_O_I1_SB_LUT4_O_1_I1_SB_LUT4_I1_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011111100101011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 767 ],
+ "I1": [ 760 ],
+ "I2": [ 753 ],
+ "I3": [ 756 ],
+ "O": [ 1198 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_O_I1_SB_LUT4_O_1_I1_SB_LUT4_O_I1_SB_LUT4_O_1_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100000000111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 745 ],
+ "I2": [ 565 ],
+ "I3": [ 1205 ],
+ "O": [ 1202 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_O_I1_SB_LUT4_O_1_I1_SB_LUT4_O_I1_SB_LUT4_O_1_I1_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0111100010000111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 742 ],
+ "I1": [ 516 ],
+ "I2": [ 746 ],
+ "I3": [ 747 ],
+ "O": [ 1203 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_O_I1_SB_LUT4_O_1_I1_SB_LUT4_O_I1_SB_LUT4_O_2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0111100010000111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 765 ],
+ "I1": [ 561 ],
+ "I2": [ 1206 ],
+ "I3": [ 1207 ],
+ "O": [ 1194 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_O_I1_SB_LUT4_O_1_I1_SB_LUT4_O_I1_SB_LUT4_O_2_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000011101111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 742 ],
+ "I1": [ 516 ],
+ "I2": [ 746 ],
+ "I3": [ 747 ],
+ "O": [ 1206 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_O_I1_SB_LUT4_O_1_I1_SB_LUT4_O_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 754 ],
+ "I3": [ 702 ],
+ "O": [ 1207 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_O_I1_SB_LUT4_O_1_I1_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111110011000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 723 ],
+ "I2": [ 1205 ],
+ "I3": [ 1201 ],
+ "O": [ 1199 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_O_I1_SB_LUT4_O_1_I1_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000011101111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 745 ],
+ "I1": [ 565 ],
+ "I2": [ 1205 ],
+ "I3": [ 1201 ],
+ "O": [ 1200 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_O_I1_SB_LUT4_O_1_I1_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 723 ],
+ "I2": [ 1205 ],
+ "I3": [ 1201 ],
+ "O": [ 1196 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_O_I1_SB_LUT4_O_1_I1_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 754 ],
+ "I3": [ 724 ],
+ "O": [ 1205 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_O_I1_SB_LUT4_O_2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011000011110011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1204 ],
+ "I2": [ 802 ],
+ "I3": [ 799 ],
+ "O": [ 1189 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0111000110001110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 773 ],
+ "I1": [ 1208 ],
+ "I2": [ 1209 ],
+ "I3": [ 1210 ],
+ "O": [ 1184 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_2_I0_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011000011110011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 850 ],
+ "I2": [ 851 ],
+ "I3": [ 852 ],
+ "O": [ 1208 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_2_I0_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 841 ],
+ "I2": [ 815 ],
+ "I3": [ 1185 ],
+ "O": [ 1209 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_2_I3_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000110011001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 627 ],
+ "I2": [ 628 ],
+ "I3": [ 629 ],
+ "O": [ 1210 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_2_I3_SB_LUT4_O_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011000011110011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 774 ],
+ "I2": [ 775 ],
+ "I3": [ 772 ],
+ "O": [ 627 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_2_I3_SB_LUT4_O_I1_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1204 ],
+ "I2": [ 802 ],
+ "I3": [ 799 ],
+ "O": [ 628 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_2_I3_SB_LUT4_O_I1_SB_LUT4_O_2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100001100111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 773 ],
+ "I2": [ 1208 ],
+ "I3": [ 1209 ],
+ "O": [ 629 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1001011001101001"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1045 ],
+ "I1": [ 1049 ],
+ "I2": [ 1052 ],
+ "I3": [ 1043 ],
+ "O": [ 1177 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011111100000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1026 ],
+ "I2": [ 1030 ],
+ "I3": [ 1031 ],
+ "O": [ 1178 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0111100010000111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1176 ],
+ "I1": [ 540 ],
+ "I2": [ 1211 ],
+ "I3": [ 1171 ],
+ "O": [ 1169 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011110111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 993 ],
+ "I1": [ 539 ],
+ "I2": [ 1212 ],
+ "I3": [ 1213 ],
+ "O": [ 1170 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011111111000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 993 ],
+ "I2": [ 539 ],
+ "I3": [ 1212 ],
+ "O": [ 1048 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1000000000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1040 ],
+ "I1": [ 1038 ],
+ "I2": [ 529 ],
+ "I3": [ 540 ],
+ "O": [ 803 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0001010100111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1040 ],
+ "I1": [ 1038 ],
+ "I2": [ 529 ],
+ "I3": [ 540 ],
+ "O": [ 1046 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0001010100111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1038 ],
+ "I1": [ 993 ],
+ "I2": [ 529 ],
+ "I3": [ 540 ],
+ "O": [ 1047 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1001010100111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 842 ],
+ "I1": [ 884 ],
+ "I2": [ 515 ],
+ "I3": [ 587 ],
+ "O": [ 1212 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1000000000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 842 ],
+ "I1": [ 884 ],
+ "I2": [ 515 ],
+ "I3": [ 587 ],
+ "O": [ 1213 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1001010100111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 842 ],
+ "I1": [ 884 ],
+ "I2": [ 706 ],
+ "I3": [ 515 ],
+ "O": [ 1211 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1001010100111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1040 ],
+ "I1": [ 1038 ],
+ "I2": [ 539 ],
+ "I3": [ 529 ],
+ "O": [ 1171 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 641 ],
+ "I2": [ 642 ],
+ "I3": [ 640 ],
+ "O": [ 735 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100001100111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 636 ],
+ "I2": [ 637 ],
+ "I3": [ 638 ],
+ "O": [ 641 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I1_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011111100000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1214 ],
+ "I2": [ 1215 ],
+ "I3": [ 1216 ],
+ "O": [ 642 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I1_SB_LUT4_O_1_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000101101111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 565 ],
+ "I1": [ 561 ],
+ "I2": [ 542 ],
+ "I3": [ 516 ],
+ "O": [ 1214 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I1_SB_LUT4_O_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000101101111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 724 ],
+ "I1": [ 565 ],
+ "I2": [ 561 ],
+ "I3": [ 542 ],
+ "O": [ 636 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 1217 ],
+ "CO": [ 640 ],
+ "I0": [ 1218 ],
+ "I1": [ 1219 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 1220 ],
+ "CO": [ 1217 ],
+ "I0": [ 1221 ],
+ "I1": [ 1222 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 1223 ],
+ "CO": [ 1220 ],
+ "I0": [ 1224 ],
+ "I1": [ 1225 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 1226 ],
+ "CO": [ 1223 ],
+ "I0": [ 1227 ],
+ "I1": [ 1228 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 1229 ],
+ "CO": [ 1226 ],
+ "I0": [ 1230 ],
+ "I1": [ 1231 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ "0" ],
+ "CO": [ 1229 ],
+ "I0": [ 950 ],
+ "I1": [ 949 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000010011111011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 702 ],
+ "I1": [ 962 ],
+ "I2": [ 966 ],
+ "I3": [ 1232 ],
+ "O": [ 950 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0001010001000001"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1230 ],
+ "I1": [ 542 ],
+ "I2": [ 516 ],
+ "I3": [ 1233 ],
+ "O": [ 1234 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_I3_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000000111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 542 ],
+ "I2": [ 516 ],
+ "I3": [ 1233 ],
+ "O": [ 1235 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000111100000100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 757 ],
+ "I1": [ 1236 ],
+ "I2": [ 1237 ],
+ "I3": [ 1235 ],
+ "O": [ 1238 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1239 ],
+ "I2": [ 1240 ],
+ "I3": [ 1238 ],
+ "O": [ 1241 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1242 ],
+ "I2": [ 1243 ],
+ "I3": [ 1244 ],
+ "O": [ 1240 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011111100000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1245 ],
+ "I2": [ 1246 ],
+ "I3": [ 1247 ],
+ "O": [ 1243 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000111111110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 1248 ],
+ "I3": [ 1249 ],
+ "O": [ 1244 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1245 ],
+ "I2": [ 1246 ],
+ "I3": [ 1249 ],
+ "O": [ 1250 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_I3_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011111100000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 561 ],
+ "I2": [ 542 ],
+ "I3": [ 516 ],
+ "O": [ 1239 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_I3_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011111111000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 754 ],
+ "I2": [ 723 ],
+ "I3": [ 1251 ],
+ "O": [ 1248 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111011101110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 754 ],
+ "I1": [ 723 ],
+ "I2": [ 1251 ],
+ "I3": [ 1242 ],
+ "O": [ 1252 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_I2_O_SB_LUT4_I1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1253 ],
+ "I2": [ 1254 ],
+ "I3": [ 1252 ],
+ "O": [ 1255 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_I2_O_SB_LUT4_I1_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000110011001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1253 ],
+ "I2": [ 1254 ],
+ "I3": [ 1252 ],
+ "O": [ 1216 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_I2_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1001101101110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 565 ],
+ "I1": [ 561 ],
+ "I2": [ 542 ],
+ "I3": [ 516 ],
+ "O": [ 1253 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_I2_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 749 ],
+ "I1": [ 754 ],
+ "I2": [ 1256 ],
+ "I3": [ 1257 ],
+ "O": [ 1254 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110000011110110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 749 ],
+ "I1": [ 754 ],
+ "I2": [ 1256 ],
+ "I3": [ 1257 ],
+ "O": [ 1258 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_I1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100001100111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1259 ],
+ "I2": [ 1260 ],
+ "I3": [ 1258 ],
+ "O": [ 1215 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_I1_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100111100001100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1259 ],
+ "I2": [ 1260 ],
+ "I3": [ 1258 ],
+ "O": [ 638 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1001101101110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 724 ],
+ "I1": [ 565 ],
+ "I2": [ 561 ],
+ "I3": [ 542 ],
+ "O": [ 1259 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1001011001101001"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 765 ],
+ "I1": [ 745 ],
+ "I2": [ 1261 ],
+ "I3": [ 1262 ],
+ "O": [ 1260 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111011001100000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 765 ],
+ "I1": [ 745 ],
+ "I2": [ 1261 ],
+ "I3": [ 1262 ],
+ "O": [ 1263 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_I1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100001100111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1264 ],
+ "I2": [ 1265 ],
+ "I3": [ 1263 ],
+ "O": [ 637 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_I1_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100111100001100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1264 ],
+ "I2": [ 1265 ],
+ "I3": [ 1263 ],
+ "O": [ 635 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1001101101110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 702 ],
+ "I1": [ 724 ],
+ "I2": [ 565 ],
+ "I3": [ 561 ],
+ "O": [ 1264 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 742 ],
+ "I1": [ 749 ],
+ "I2": [ 1266 ],
+ "I3": [ 1267 ],
+ "O": [ 1265 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110000011110110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 742 ],
+ "I1": [ 749 ],
+ "I2": [ 1266 ],
+ "I3": [ 1267 ],
+ "O": [ 1268 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_I1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100001100111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1269 ],
+ "I2": [ 1270 ],
+ "I3": [ 1268 ],
+ "O": [ 634 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_I1_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100111100001100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1269 ],
+ "I2": [ 1270 ],
+ "I3": [ 1268 ],
+ "O": [ 714 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1001101101110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 723 ],
+ "I1": [ 702 ],
+ "I2": [ 724 ],
+ "I3": [ 565 ],
+ "O": [ 1269 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1271 ],
+ "I1": [ 765 ],
+ "I2": [ 1272 ],
+ "I3": [ 1273 ],
+ "O": [ 1270 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110000011110110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1271 ],
+ "I1": [ 765 ],
+ "I2": [ 1272 ],
+ "I3": [ 1273 ],
+ "O": [ 717 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_I1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100001100111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 715 ],
+ "I2": [ 716 ],
+ "I3": [ 717 ],
+ "O": [ 713 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1001101101110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 757 ],
+ "I1": [ 723 ],
+ "I2": [ 702 ],
+ "I3": [ 724 ],
+ "O": [ 715 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 700 ],
+ "I1": [ 742 ],
+ "I2": [ 1274 ],
+ "I3": [ 1275 ],
+ "O": [ 716 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110000011110110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 700 ],
+ "I1": [ 742 ],
+ "I2": [ 1274 ],
+ "I3": [ 1275 ],
+ "O": [ 721 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1001101101110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 754 ],
+ "I1": [ 757 ],
+ "I2": [ 723 ],
+ "I3": [ 702 ],
+ "O": [ 719 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000101101111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 757 ],
+ "I1": [ 723 ],
+ "I2": [ 702 ],
+ "I3": [ 724 ],
+ "O": [ 720 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000111111110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 1276 ],
+ "I3": [ 1277 ],
+ "O": [ 722 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_2_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1001010110101001"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 745 ],
+ "I1": [ 754 ],
+ "I2": [ 757 ],
+ "I3": [ 723 ],
+ "O": [ 1276 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_2_I2_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1001011001011010"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 698 ],
+ "I1": [ 700 ],
+ "I2": [ 1271 ],
+ "I3": [ 742 ],
+ "O": [ 1277 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 1271 ],
+ "I3": [ 765 ],
+ "O": [ 1274 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1001010110101001"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 754 ],
+ "I1": [ 757 ],
+ "I2": [ 723 ],
+ "I3": [ 702 ],
+ "O": [ 1275 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 742 ],
+ "I3": [ 749 ],
+ "O": [ 1272 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1001010110101001"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 757 ],
+ "I1": [ 723 ],
+ "I2": [ 702 ],
+ "I3": [ 724 ],
+ "O": [ 1273 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 765 ],
+ "I3": [ 745 ],
+ "O": [ 1266 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1001010110101001"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 723 ],
+ "I1": [ 702 ],
+ "I2": [ 724 ],
+ "I3": [ 565 ],
+ "O": [ 1267 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 749 ],
+ "I3": [ 754 ],
+ "O": [ 1261 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110101001010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 702 ],
+ "I1": [ 724 ],
+ "I2": [ 565 ],
+ "I3": [ 561 ],
+ "O": [ 1262 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 745 ],
+ "I3": [ 757 ],
+ "O": [ 1256 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1001010110101001"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 724 ],
+ "I1": [ 565 ],
+ "I2": [ 561 ],
+ "I3": [ 542 ],
+ "O": [ 1257 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 745 ],
+ "I3": [ 757 ],
+ "O": [ 1251 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_I3_O_SB_LUT4_O_2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1001010110101001"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 565 ],
+ "I1": [ 561 ],
+ "I2": [ 542 ],
+ "I3": [ 516 ],
+ "O": [ 1242 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 754 ],
+ "I2": [ 723 ],
+ "I3": [ 1201 ],
+ "O": [ 1249 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1245 ],
+ "I2": [ 1246 ],
+ "I3": [ 1247 ],
+ "O": [ 1237 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_I3_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000111111110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 1278 ],
+ "I3": [ 1236 ],
+ "O": [ 1233 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_O_SB_LUT4_I0": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011100111000110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1234 ],
+ "I1": [ 1241 ],
+ "I2": [ 1279 ],
+ "I3": [ 1280 ],
+ "O": [ 812 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_O_SB_LUT4_I0_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0111111100000111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 724 ],
+ "I1": [ 565 ],
+ "I2": [ 1281 ],
+ "I3": [ 1282 ],
+ "O": [ 1058 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_O_SB_LUT4_I0_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 745 ],
+ "I3": [ 516 ],
+ "O": [ 766 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_O_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1000011101111000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 724 ],
+ "I1": [ 565 ],
+ "I2": [ 1281 ],
+ "I3": [ 1282 ],
+ "O": [ 1283 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_O_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100001100111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1283 ],
+ "I2": [ 1284 ],
+ "I3": [ 1285 ],
+ "O": [ 944 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_O_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I1_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000001100111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1283 ],
+ "I2": [ 1284 ],
+ "I3": [ 1285 ],
+ "O": [ 1286 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_O_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I1_O_SB_LUT4_I1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000110011001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 943 ],
+ "I2": [ 944 ],
+ "I3": [ 945 ],
+ "O": [ 914 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_O_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I1_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 540 ],
+ "I2": [ 1018 ],
+ "I3": [ 816 ],
+ "O": [ 945 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_O_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0111000001111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 702 ],
+ "I1": [ 561 ],
+ "I2": [ 1287 ],
+ "I3": [ 1288 ],
+ "O": [ 1284 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_O_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 724 ],
+ "I2": [ 1282 ],
+ "I3": [ 1289 ],
+ "O": [ 1285 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_O_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I3_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000111111110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 1287 ],
+ "I3": [ 1288 ],
+ "O": [ 947 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_O_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_I1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011111100000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 946 ],
+ "I2": [ 947 ],
+ "I3": [ 948 ],
+ "O": [ 943 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_O_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0001011111101000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 565 ],
+ "I1": [ 954 ],
+ "I2": [ 951 ],
+ "I3": [ 1287 ],
+ "O": [ 946 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_O_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000100110011111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 951 ],
+ "I1": [ 952 ],
+ "I2": [ 953 ],
+ "I3": [ 939 ],
+ "O": [ 948 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_O_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I3_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111110011000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 954 ],
+ "I2": [ 941 ],
+ "I3": [ 951 ],
+ "O": [ 1288 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_O_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011110111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 702 ],
+ "I1": [ 561 ],
+ "I2": [ 1287 ],
+ "I3": [ 1281 ],
+ "O": [ 1289 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_O_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I3_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0111100010000111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 757 ],
+ "I1": [ 542 ],
+ "I2": [ 1290 ],
+ "I3": [ 1291 ],
+ "O": [ 1282 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_O_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I3_O_SB_LUT4_O_I2_SB_LUT4_I2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000011101111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 757 ],
+ "I1": [ 542 ],
+ "I2": [ 1290 ],
+ "I3": [ 1291 ],
+ "O": [ 786 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_O_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I3_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0111100010000111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 754 ],
+ "I1": [ 542 ],
+ "I2": [ 766 ],
+ "I3": [ 790 ],
+ "O": [ 785 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_O_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I3_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 723 ],
+ "I3": [ 565 ],
+ "O": [ 784 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_O_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I3_O_SB_LUT4_O_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 723 ],
+ "I3": [ 561 ],
+ "O": [ 1290 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_O_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I3_O_SB_LUT4_O_I2_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 754 ],
+ "I3": [ 516 ],
+ "O": [ 1291 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_O_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100000000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 757 ],
+ "I2": [ 542 ],
+ "I3": [ 941 ],
+ "O": [ 1281 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000111110110100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 757 ],
+ "I1": [ 1236 ],
+ "I2": [ 1237 ],
+ "I3": [ 1235 ],
+ "O": [ 1279 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 1292 ],
+ "I3": [ 1293 ],
+ "O": [ 1230 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1292 ],
+ "I2": [ 1293 ],
+ "I3": [ 1231 ],
+ "O": [ 1294 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_I3_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000111100000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 1293 ],
+ "I3": [ 1231 ],
+ "O": [ 1295 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_I3_1_O_SB_CARRY_I0": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 1296 ],
+ "CO": [ 1280 ],
+ "I0": [ 1297 ],
+ "I1": [ 1295 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_I3_1_O_SB_LUT4_I1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1297 ],
+ "I2": [ 1295 ],
+ "I3": [ 1296 ],
+ "O": [ 816 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_I3_1_O_SB_LUT4_I1_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011101000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 565 ],
+ "I1": [ 954 ],
+ "I2": [ 951 ],
+ "I3": [ 1287 ],
+ "O": [ 1018 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_I3_1_O_SB_LUT4_I1_O_SB_LUT4_O_I3_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1001010100111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 757 ],
+ "I1": [ 723 ],
+ "I2": [ 542 ],
+ "I3": [ 516 ],
+ "O": [ 1287 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_I3_1_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 1234 ],
+ "I3": [ 1279 ],
+ "O": [ 1297 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_I3_O_SB_CARRY_I0": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ "0" ],
+ "CO": [ 1296 ],
+ "I0": [ 1294 ],
+ "I1": [ 1298 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_I3_O_SB_LUT4_I1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1294 ],
+ "I2": [ 1298 ],
+ "I3": [ "0" ],
+ "O": [ 819 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_I3_O_SB_LUT4_I1_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 941 ],
+ "I3": [ 939 ],
+ "O": [ 920 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_I3_O_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 702 ],
+ "I3": [ 542 ],
+ "O": [ 954 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_I3_O_SB_LUT4_I1_O_SB_LUT4_O_I2_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 723 ],
+ "I3": [ 516 ],
+ "O": [ 941 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_I3_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 949 ],
+ "I3": [ 950 ],
+ "O": [ 1298 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000000000100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 702 ],
+ "I1": [ 962 ],
+ "I2": [ 966 ],
+ "I3": [ 1232 ],
+ "O": [ 1231 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 565 ],
+ "I3": [ 542 ],
+ "O": [ 962 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I1_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 724 ],
+ "I3": [ 561 ],
+ "O": [ 966 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I1_SB_LUT4_O_2": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100111100110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 968 ],
+ "I2": [ 951 ],
+ "I3": [ 1299 ],
+ "O": [ 1232 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 1300 ],
+ "I3": [ 1301 ],
+ "O": [ 1227 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 1292 ],
+ "I3": [ 1293 ],
+ "O": [ 1228 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 1302 ],
+ "I3": [ 1303 ],
+ "O": [ 1224 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000111100000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 1300 ],
+ "I3": [ 1301 ],
+ "O": [ 1225 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100001100111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1245 ],
+ "I2": [ 1246 ],
+ "I3": [ 1304 ],
+ "O": [ 1300 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000001101001"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 542 ],
+ "I1": [ 516 ],
+ "I2": [ 1278 ],
+ "I3": [ 1305 ],
+ "O": [ 1301 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1001011001101001"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 542 ],
+ "I1": [ 516 ],
+ "I2": [ 1278 ],
+ "I3": [ 1305 ],
+ "O": [ 1293 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_I3_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000000110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 968 ],
+ "I2": [ 951 ],
+ "I3": [ 1299 ],
+ "O": [ 1292 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011110011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 516 ],
+ "I2": [ 1306 ],
+ "I3": [ 1236 ],
+ "O": [ 1305 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_O_I2_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000111111110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 516 ],
+ "I3": [ 1306 ],
+ "O": [ 1299 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_O_I2_SB_LUT4_I3_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 702 ],
+ "I3": [ 565 ],
+ "O": [ 968 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_O_I2_SB_LUT4_I3_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 724 ],
+ "I3": [ 561 ],
+ "O": [ 951 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_O_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 723 ],
+ "I2": [ 724 ],
+ "I3": [ 1307 ],
+ "O": [ 1306 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_I1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1307 ],
+ "I2": [ 1308 ],
+ "I3": [ 786 ],
+ "O": [ 1309 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_I1_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000110011001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1307 ],
+ "I2": [ 1308 ],
+ "I3": [ 786 ],
+ "O": [ 1310 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_I1_1_O_SB_LUT4_I1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100001100111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1310 ],
+ "I2": [ 1311 ],
+ "I3": [ 1312 ],
+ "O": [ 783 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_I1_1_O_SB_LUT4_I1_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100111100001100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1310 ],
+ "I2": [ 1311 ],
+ "I3": [ 1312 ],
+ "O": [ 618 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_I1_1_O_SB_LUT4_I1_1_O_SB_LUT4_I1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100001100111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 618 ],
+ "I2": [ 619 ],
+ "I3": [ 620 ],
+ "O": [ 626 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_I1_1_O_SB_LUT4_I1_1_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011000011110011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 859 ],
+ "I2": [ 860 ],
+ "I3": [ 861 ],
+ "O": [ 619 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_I1_1_O_SB_LUT4_I1_1_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 850 ],
+ "I2": [ 851 ],
+ "I3": [ 852 ],
+ "O": [ 620 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_I1_1_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100001100001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 540 ],
+ "I2": [ 755 ],
+ "I3": [ 768 ],
+ "O": [ 1311 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_I1_1_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011000011110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 540 ],
+ "I2": [ 766 ],
+ "I3": [ 812 ],
+ "O": [ 1312 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_I1_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0111100010000111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 754 ],
+ "I1": [ 542 ],
+ "I2": [ 784 ],
+ "I3": [ 790 ],
+ "O": [ 1308 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_I1_I2_SB_LUT4_O_I3_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000011101111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 754 ],
+ "I1": [ 542 ],
+ "I2": [ 784 ],
+ "I3": [ 790 ],
+ "O": [ 776 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_I1_I2_SB_LUT4_O_I3_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 757 ],
+ "I3": [ 561 ],
+ "O": [ 790 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_I1_O_SB_LUT4_I1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1313 ],
+ "I2": [ 1309 ],
+ "I3": [ 1314 ],
+ "O": [ 1315 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_I1_O_SB_LUT4_I1_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011111100000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1313 ],
+ "I2": [ 1309 ],
+ "I3": [ 1314 ],
+ "O": [ 782 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_I1_O_SB_LUT4_I1_O_SB_LUT4_I1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1315 ],
+ "I2": [ 1286 ],
+ "I3": [ 1316 ],
+ "O": [ 915 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_I1_O_SB_LUT4_I1_O_SB_LUT4_I1_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011000011110011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1315 ],
+ "I2": [ 1286 ],
+ "I3": [ 1316 ],
+ "O": [ 652 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_I1_O_SB_LUT4_I1_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100111000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 540 ],
+ "I1": [ 766 ],
+ "I2": [ 1058 ],
+ "I3": [ 812 ],
+ "O": [ 1316 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_I1_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 784 ],
+ "I2": [ 785 ],
+ "I3": [ 786 ],
+ "O": [ 1313 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_I1_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000110011001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 724 ],
+ "I2": [ 1282 ],
+ "I3": [ 1289 ],
+ "O": [ 1314 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 723 ],
+ "I2": [ 724 ],
+ "I3": [ 1307 ],
+ "O": [ 1236 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 757 ],
+ "I2": [ 702 ],
+ "I3": [ 792 ],
+ "O": [ 1278 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 757 ],
+ "I2": [ 702 ],
+ "I3": [ 792 ],
+ "O": [ 1247 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 561 ],
+ "I2": [ 542 ],
+ "I3": [ 516 ],
+ "O": [ 1245 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 754 ],
+ "I2": [ 723 ],
+ "I3": [ 1201 ],
+ "O": [ 1246 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 757 ],
+ "I3": [ 702 ],
+ "O": [ 1201 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 723 ],
+ "I3": [ 724 ],
+ "O": [ 792 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 702 ],
+ "I3": [ 565 ],
+ "O": [ 1307 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1221 ],
+ "I2": [ 1222 ],
+ "I3": [ 1220 ],
+ "O": [ 768 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_LUT4_I3_O_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000111111110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 540 ],
+ "I3": [ 269 ],
+ "O": [ 1317 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0111100010000111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 561 ],
+ "I1": [ 1022 ],
+ "I2": [ 1255 ],
+ "I3": [ 1318 ],
+ "O": [ 1221 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000111100000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 1302 ],
+ "I3": [ 1303 ],
+ "O": [ 1222 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_O_1_I2_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1239 ],
+ "I1": [ 1242 ],
+ "I2": [ 1248 ],
+ "I3": [ 1250 ],
+ "O": [ 1302 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_O_1_I2_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000000111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1245 ],
+ "I2": [ 1246 ],
+ "I3": [ 1304 ],
+ "O": [ 1303 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_O_1_I2_SB_LUT4_O_1_I3_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011111001"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 542 ],
+ "I1": [ 516 ],
+ "I2": [ 1278 ],
+ "I3": [ 1247 ],
+ "O": [ 1304 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1218 ],
+ "I2": [ 1219 ],
+ "I3": [ 1217 ],
+ "O": [ 740 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_LUT4_I3_O_SB_LUT4_I3": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1001001101011111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 539 ],
+ "I1": [ 529 ],
+ "I2": [ 768 ],
+ "I3": [ 740 ],
+ "O": [ 813 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_I0_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100001100111100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1214 ],
+ "I2": [ 1215 ],
+ "I3": [ 1216 ],
+ "O": [ 1218 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_I0_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1000111100001000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 561 ],
+ "I1": [ 1022 ],
+ "I2": [ 1255 ],
+ "I3": [ 1318 ],
+ "O": [ 1219 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_I0_SB_LUT4_O_1_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0010100010111110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1239 ],
+ "I1": [ 1242 ],
+ "I2": [ 1248 ],
+ "I3": [ 1250 ],
+ "O": [ 1318 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_I0_SB_LUT4_O_1_I1_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 542 ],
+ "I3": [ 516 ],
+ "O": [ 1022 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I3_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 1319 ],
+ "CO": [ 736 ],
+ "I0": [ 1320 ],
+ "I1": [ 1321 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_14": {
+ "hide_name": 0,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:82.5-96.8|/usr/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1322 ],
+ "E": [ 256 ],
+ "Q": [ 542 ],
+ "R": [ 374 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_14_D_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1320 ],
+ "I2": [ 1321 ],
+ "I3": [ 1319 ],
+ "O": [ 1322 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_14_D_SB_LUT4_O_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0011110011000011"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 529 ],
+ "I2": [ 261 ],
+ "I3": [ 740 ],
+ "O": [ 1320 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_14_D_SB_LUT4_O_I1_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111111100001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 540 ],
+ "I3": [ 269 ],
+ "O": [ 1321 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_14_D_SB_LUT4_O_I3_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ "0" ],
+ "CO": [ 1319 ],
+ "I0": [ 1317 ],
+ "I1": [ 768 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_15": {
+ "hide_name": 0,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:82.5-96.8|/usr/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1323 ],
+ "E": [ 256 ],
+ "Q": [ 516 ],
+ "R": [ 374 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_15_D_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1317 ],
+ "I2": [ 768 ],
+ "I3": [ "0" ],
+ "O": [ 1323 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_1_D_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1324 ],
+ "I2": [ "0" ],
+ "I3": [ 1325 ],
+ "O": [ 699 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_1_D_SB_LUT4_O_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ 1326 ],
+ "O": [ 1324 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_1_D_SB_LUT4_O_I3_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 1327 ],
+ "CO": [ 1325 ],
+ "I0": [ 1328 ],
+ "I1": [ "0" ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_2": {
+ "hide_name": 0,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:82.5-96.8|/usr/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1329 ],
+ "E": [ 256 ],
+ "Q": [ 1271 ],
+ "R": [ 374 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_2_D_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1328 ],
+ "I2": [ "0" ],
+ "I3": [ 1327 ],
+ "O": [ 1329 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_2_D_SB_LUT4_O_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ 1176 ],
+ "O": [ 1328 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_2_D_SB_LUT4_O_I3_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 1330 ],
+ "CO": [ 1327 ],
+ "I0": [ 1331 ],
+ "I1": [ 1332 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_3": {
+ "hide_name": 0,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:82.5-96.8|/usr/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1333 ],
+ "E": [ 256 ],
+ "Q": [ 742 ],
+ "R": [ 374 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_3_D_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1331 ],
+ "I2": [ 1332 ],
+ "I3": [ 1330 ],
+ "O": [ 1333 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_3_D_SB_LUT4_O_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011111111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ 1040 ],
+ "O": [ 1331 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_3_D_SB_LUT4_O_I1_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 257 ],
+ "I3": [ 1038 ],
+ "O": [ 1332 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_3_D_SB_LUT4_O_I3_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 1334 ],
+ "CO": [ 1330 ],
+ "I0": [ 1335 ],
+ "I1": [ 1336 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_4": {
+ "hide_name": 0,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:82.5-96.8|/usr/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1337 ],
+ "E": [ 256 ],
+ "Q": [ 765 ],
+ "R": [ 374 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_4_D_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1335 ],
+ "I2": [ 1336 ],
+ "I3": [ 1334 ],
+ "O": [ 1337 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_4_D_SB_LUT4_O_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 257 ],
+ "I3": [ 1038 ],
+ "O": [ 1335 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_4_D_SB_LUT4_O_I1_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000111100000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 993 ],
+ "I3": [ 259 ],
+ "O": [ 1336 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_4_D_SB_LUT4_O_I3_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 1338 ],
+ "CO": [ 1334 ],
+ "I0": [ 1339 ],
+ "I1": [ 1340 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_5": {
+ "hide_name": 0,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:82.5-96.8|/usr/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1341 ],
+ "E": [ 256 ],
+ "Q": [ 749 ],
+ "R": [ 374 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_5_D_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1339 ],
+ "I2": [ 1340 ],
+ "I3": [ 1338 ],
+ "O": [ 1341 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_5_D_SB_LUT4_O_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 993 ],
+ "I3": [ 259 ],
+ "O": [ 1339 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_5_D_SB_LUT4_O_I1_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 279 ],
+ "I3": [ 842 ],
+ "O": [ 1340 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_5_D_SB_LUT4_O_I3_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 1342 ],
+ "CO": [ 1338 ],
+ "I0": [ 1343 ],
+ "I1": [ 1344 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_6": {
+ "hide_name": 0,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:82.5-96.8|/usr/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1345 ],
+ "E": [ 256 ],
+ "Q": [ 745 ],
+ "R": [ 374 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_6_D_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1343 ],
+ "I2": [ 1344 ],
+ "I3": [ 1342 ],
+ "O": [ 1345 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_6_D_SB_LUT4_O_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 279 ],
+ "I3": [ 842 ],
+ "O": [ 1343 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_6_D_SB_LUT4_O_I1_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000000011110000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 285 ],
+ "I3": [ 884 ],
+ "O": [ 1344 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_6_D_SB_LUT4_O_I3_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 1346 ],
+ "CO": [ 1342 ],
+ "I0": [ 1347 ],
+ "I1": [ 1348 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_7": {
+ "hide_name": 0,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:82.5-96.8|/usr/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1349 ],
+ "E": [ 256 ],
+ "Q": [ 754 ],
+ "R": [ 374 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_7_D_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1347 ],
+ "I2": [ 1348 ],
+ "I3": [ 1346 ],
+ "O": [ 1349 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_7_D_SB_LUT4_O_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 285 ],
+ "I3": [ 884 ],
+ "O": [ 1347 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_7_D_SB_LUT4_O_I1_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000111100000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 833 ],
+ "I3": [ 291 ],
+ "O": [ 1348 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 1350 ],
+ "CO": [ 1346 ],
+ "I0": [ 1351 ],
+ "I1": [ 1352 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_8": {
+ "hide_name": 0,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:82.5-96.8|/usr/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1353 ],
+ "E": [ 256 ],
+ "Q": [ 757 ],
+ "R": [ 374 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_8_D_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1351 ],
+ "I2": [ 1352 ],
+ "I3": [ 1350 ],
+ "O": [ 1353 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_8_D_SB_LUT4_O_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 833 ],
+ "I3": [ 291 ],
+ "O": [ 1351 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_8_D_SB_LUT4_O_I1_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0000111100000000"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 835 ],
+ "I3": [ 297 ],
+ "O": [ 1352 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_8_D_SB_LUT4_O_I3_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 1354 ],
+ "CO": [ 1350 ],
+ "I0": [ 1355 ],
+ "I1": [ 1356 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_9": {
+ "hide_name": 0,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:82.5-96.8|/usr/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1357 ],
+ "E": [ 256 ],
+ "Q": [ 723 ],
+ "R": [ 374 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_9_D_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "0110100110010110"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 1355 ],
+ "I2": [ 1356 ],
+ "I3": [ 1354 ],
+ "O": [ 1357 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_9_D_SB_LUT4_O_I1_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1111000000001111"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 835 ],
+ "I3": [ 297 ],
+ "O": [ 1355 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_9_D_SB_LUT4_O_I1_SB_LUT4_O_1": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1100111100001100"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 303 ],
+ "I2": [ 706 ],
+ "I3": [ 707 ],
+ "O": [ 1356 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_9_D_SB_LUT4_O_I3_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 705 ],
+ "CO": [ 1354 ],
+ "I0": [ 703 ],
+ "I1": [ 704 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_D_SB_LUT4_O": {
+ "hide_name": 0,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": "1010101001010101"
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1358 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ 1359 ],
+ "O": [ 697 ]
+ }
+ },
+ "q1_440_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO": {
+ "hide_name": 0,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 1325 ],
+ "CO": [ 1359 ],
+ "I0": [ 1324 ],
+ "I1": [ "0" ]
+ }
+ },
+ "q2_440_SB_DFFESR_Q": {
+ "hide_name": 0,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:82.5-96.8|/usr/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 698 ],
+ "E": [ 256 ],
+ "Q": [ 1358 ],
+ "R": [ 374 ]
+ }
+ },
+ "q2_440_SB_DFFESR_Q_1": {
+ "hide_name": 0,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:82.5-96.8|/usr/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 700 ],
+ "E": [ 256 ],
+ "Q": [ 1326 ],
+ "R": [ 374 ]
+ }
+ },
+ "q2_440_SB_DFFESR_Q_10": {
+ "hide_name": 0,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:82.5-96.8|/usr/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 702 ],
+ "E": [ 256 ],
+ "Q": [ 706 ],
+ "R": [ 374 ]
+ }
+ },
+ "q2_440_SB_DFFESR_Q_11": {
+ "hide_name": 0,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:82.5-96.8|/usr/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 724 ],
+ "E": [ 256 ],
+ "Q": [ 515 ],
+ "R": [ 374 ]
+ }
+ },
+ "q2_440_SB_DFFESR_Q_12": {
+ "hide_name": 0,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:82.5-96.8|/usr/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 565 ],
+ "E": [ 256 ],
+ "Q": [ 587 ],
+ "R": [ 374 ]
+ }
+ },
+ "q2_440_SB_DFFESR_Q_13": {
+ "hide_name": 0,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:82.5-96.8|/usr/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 561 ],
+ "E": [ 256 ],
+ "Q": [ 539 ],
+ "R": [ 374 ]
+ }
+ },
+ "q2_440_SB_DFFESR_Q_14": {
+ "hide_name": 0,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:82.5-96.8|/usr/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 542 ],
+ "E": [ 256 ],
+ "Q": [ 529 ],
+ "R": [ 374 ]
+ }
+ },
+ "q2_440_SB_DFFESR_Q_15": {
+ "hide_name": 0,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:82.5-96.8|/usr/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 516 ],
+ "E": [ 256 ],
+ "Q": [ 540 ],
+ "R": [ 374 ]
+ }
+ },
+ "q2_440_SB_DFFESR_Q_2": {
+ "hide_name": 0,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:82.5-96.8|/usr/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1271 ],
+ "E": [ 256 ],
+ "Q": [ 1176 ],
+ "R": [ 374 ]
+ }
+ },
+ "q2_440_SB_DFFESR_Q_3": {
+ "hide_name": 0,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:82.5-96.8|/usr/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 742 ],
+ "E": [ 256 ],
+ "Q": [ 1040 ],
+ "R": [ 374 ]
+ }
+ },
+ "q2_440_SB_DFFESR_Q_4": {
+ "hide_name": 0,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:82.5-96.8|/usr/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 765 ],
+ "E": [ 256 ],
+ "Q": [ 1038 ],
+ "R": [ 374 ]
+ }
+ },
+ "q2_440_SB_DFFESR_Q_5": {
+ "hide_name": 0,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:82.5-96.8|/usr/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 749 ],
+ "E": [ 256 ],
+ "Q": [ 993 ],
+ "R": [ 374 ]
+ }
+ },
+ "q2_440_SB_DFFESR_Q_6": {
+ "hide_name": 0,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:82.5-96.8|/usr/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 745 ],
+ "E": [ 256 ],
+ "Q": [ 842 ],
+ "R": [ 374 ]
+ }
+ },
+ "q2_440_SB_DFFESR_Q_7": {
+ "hide_name": 0,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:82.5-96.8|/usr/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 754 ],
+ "E": [ 256 ],
+ "Q": [ 884 ],
+ "R": [ 374 ]
+ }
+ },
+ "q2_440_SB_DFFESR_Q_8": {
+ "hide_name": 0,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:82.5-96.8|/usr/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 757 ],
+ "E": [ 256 ],
+ "Q": [ 833 ],
+ "R": [ 374 ]
+ }
+ },
+ "q2_440_SB_DFFESR_Q_9": {
+ "hide_name": 0,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": "00000000000000000000000000000001",
+ "src": "mictoled.v:82.5-96.8|/usr/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 723 ],
+ "E": [ 256 ],
+ "Q": [ 835 ],
+ "R": [ 374 ]
+ }
+ }
+ },
+ "netnames": {
+ "btn": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "mictoled.v:26.15-26.18"
+ }
+ },
+ "clk": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "mictoled.v:25.15-25.18"
+ }
+ },
+ "clkdiv": {
+ "hide_name": 0,
+ "bits": [ 220, 221, 57, 40, 35, 33, 32, 31, 30, 29, 28, 27, 25, 24, 23, 22, 21, 20, 19, 18, 17, 16, 98, 100, 102, 104, 106, 108, 110, 26, 15, 14 ],
+ "attributes": {
+ "src": "mictoled.v:36.16-36.22"
+ }
+ },
+ "clkdiv_SB_DFF_Q_27_D": {
+ "hide_name": 0,
+ "bits": [ 34 ],
+ "attributes": {
+ }
+ },
+ "clkdiv_SB_DFF_Q_28_D": {
+ "hide_name": 0,
+ "bits": [ 39 ],
+ "attributes": {
+ }
+ },
+ "clkdiv_SB_DFF_Q_28_D_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 38 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_28_D_SB_LUT4_O_I3_SB_CARRY_CO_CI": {
+ "hide_name": 0,
+ "bits": [ 41 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_28_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI": {
+ "hide_name": 0,
+ "bits": [ 42 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_28_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI": {
+ "hide_name": 0,
+ "bits": [ 43 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_28_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI": {
+ "hide_name": 0,
+ "bits": [ 44 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_28_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI": {
+ "hide_name": 0,
+ "bits": [ 45 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_28_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI": {
+ "hide_name": 0,
+ "bits": [ 46 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_28_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI": {
+ "hide_name": 0,
+ "bits": [ 47 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_28_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI": {
+ "hide_name": 0,
+ "bits": [ 48 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_28_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI": {
+ "hide_name": 0,
+ "bits": [ 49 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_28_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI": {
+ "hide_name": 0,
+ "bits": [ 50 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_28_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI": {
+ "hide_name": 0,
+ "bits": [ 51 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_28_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI": {
+ "hide_name": 0,
+ "bits": [ 52 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_28_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI": {
+ "hide_name": 0,
+ "bits": [ 53 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_28_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI": {
+ "hide_name": 0,
+ "bits": [ 54 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_28_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI": {
+ "hide_name": 0,
+ "bits": [ 55 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D": {
+ "hide_name": 0,
+ "bits": [ 56 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_I3_O": {
+ "hide_name": 0,
+ "bits": [ 58 ],
+ "attributes": {
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_I3_O_SB_CARRY_I1_CO": {
+ "hide_name": 0,
+ "bits": [ 60 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_I3_O_SB_CARRY_I1_CO_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 61 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_I3_O_SB_CARRY_I1_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 63 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_I3_O_SB_CARRY_I1_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 64 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_I3_O_SB_CARRY_I1_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 66 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_I3_O_SB_CARRY_I1_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 67 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_I3_O_SB_CARRY_I1_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 68 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_I3_O_SB_CARRY_I1_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 69 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_I3_O_SB_CARRY_I1_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 70 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_I3_O_SB_CARRY_I1_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 71 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_I3_O_SB_CARRY_I1_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 72 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_I3_O_SB_CARRY_I1_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 73 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_I3_O_SB_CARRY_I1_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 74 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_I3_O_SB_CARRY_I1_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 75 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_I3_O_SB_CARRY_I1_CO_SB_CARRY_CI_I1": {
+ "hide_name": 0,
+ "bits": [ 62 ],
+ "attributes": {
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 76 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 77 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 78 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 79 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 80 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 81 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 82 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 83 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 84 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 85 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 86 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 87 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 88 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 89 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 90 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 91 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 92 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 93 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 94 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 95 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 96 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 97 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 99 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 101 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 103 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 105 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 107 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 109 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 111 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 112 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:38.20-38.30|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O": {
+ "hide_name": 0,
+ "bits": [ 113, 115, "1", 118 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O": {
+ "hide_name": 0,
+ "bits": [ 114 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:8.8-8.10"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 115 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_I2_O": {
+ "hide_name": 0,
+ "bits": [ 117, 116, 119, 122 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O": {
+ "hide_name": 0,
+ "bits": [ 117 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:8.8-8.10"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 118 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_I2_O": {
+ "hide_name": 0,
+ "bits": [ 121, 120, 123, 126 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O": {
+ "hide_name": 0,
+ "bits": [ 121 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:8.8-8.10"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 122 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_I2_O": {
+ "hide_name": 0,
+ "bits": [ 125, 124, 127, 130 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O": {
+ "hide_name": 0,
+ "bits": [ 125 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:8.8-8.10"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 126 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_I2_O": {
+ "hide_name": 0,
+ "bits": [ 129, 128, 131, 134 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O": {
+ "hide_name": 0,
+ "bits": [ 129 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:8.8-8.10"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 130 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_I2_O": {
+ "hide_name": 0,
+ "bits": [ 133, 132, 135, 138 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O": {
+ "hide_name": 0,
+ "bits": [ 133 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:8.8-8.10"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 134 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_I2_O": {
+ "hide_name": 0,
+ "bits": [ 137, 136, 139, 142 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O": {
+ "hide_name": 0,
+ "bits": [ 137 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:8.8-8.10"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 138 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_I2_O": {
+ "hide_name": 0,
+ "bits": [ 141, 140, 143, 146 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O": {
+ "hide_name": 0,
+ "bits": [ 141 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:8.8-8.10"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 142 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_I2_O": {
+ "hide_name": 0,
+ "bits": [ 145, 144, 147, 150 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O": {
+ "hide_name": 0,
+ "bits": [ 145 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:8.8-8.10"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 146 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O": {
+ "hide_name": 0,
+ "bits": [ 149 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:8.8-8.10"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 150 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_I2_I3": {
+ "hide_name": 0,
+ "bits": [ 149, 151 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_I2_O": {
+ "hide_name": 0,
+ "bits": [ 153, 152, 155, 158 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O": {
+ "hide_name": 0,
+ "bits": [ 153 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:8.8-8.10"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 154 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI_CO_SB_LUT4_I3_I1": {
+ "hide_name": 0,
+ "bits": [ 149, 148, 151, 154 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_I2_O": {
+ "hide_name": 0,
+ "bits": [ 157, 156, 159, 162 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O": {
+ "hide_name": 0,
+ "bits": [ 157 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:8.8-8.10"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 158 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O": {
+ "hide_name": 0,
+ "bits": [ 161 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:8.8-8.10"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 162 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_I2_I3": {
+ "hide_name": 0,
+ "bits": [ 161, 163 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_I2_O": {
+ "hide_name": 0,
+ "bits": [ 165, 164, 167, 170 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O": {
+ "hide_name": 0,
+ "bits": [ 165 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:8.8-8.10"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 166 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI_CO_SB_LUT4_I3_I1": {
+ "hide_name": 0,
+ "bits": [ 161, 160, 163, 166 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_I2_O": {
+ "hide_name": 0,
+ "bits": [ 169, 168, 171, 174 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O": {
+ "hide_name": 0,
+ "bits": [ 169 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:8.8-8.10"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 170 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_I2_O": {
+ "hide_name": 0,
+ "bits": [ 173, 172, 175, 178 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O": {
+ "hide_name": 0,
+ "bits": [ 173 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:8.8-8.10"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 174 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_I2_O": {
+ "hide_name": 0,
+ "bits": [ 177, 176, 179, 182 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O": {
+ "hide_name": 0,
+ "bits": [ 177 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:8.8-8.10"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 178 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_I2_O": {
+ "hide_name": 0,
+ "bits": [ 181, 180, 183, 186 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O": {
+ "hide_name": 0,
+ "bits": [ 181 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:8.8-8.10"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 182 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_I2_O": {
+ "hide_name": 0,
+ "bits": [ 185, 184, 187, 190 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O": {
+ "hide_name": 0,
+ "bits": [ 185 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:8.8-8.10"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 186 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O": {
+ "hide_name": 0,
+ "bits": [ 189 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:8.8-8.10"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 190 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_I2_O": {
+ "hide_name": 0,
+ "bits": [ 193, 192, 195, 198 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O": {
+ "hide_name": 0,
+ "bits": [ 193 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:8.8-8.10"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 194 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI_CO_SB_LUT4_I3_I1": {
+ "hide_name": 0,
+ "bits": [ 189, 188, 191, 194 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI_CO_SB_LUT4_I3_I2": {
+ "hide_name": 0,
+ "bits": [ 189, 191 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O": {
+ "hide_name": 0,
+ "bits": [ 197 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:8.8-8.10"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 198 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_I2_O": {
+ "hide_name": 0,
+ "bits": [ 201, 200, 203, 206 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O": {
+ "hide_name": 0,
+ "bits": [ 201 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:8.8-8.10"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 202 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI_CO_SB_LUT4_I3_I1": {
+ "hide_name": 0,
+ "bits": [ 197, 196, 199, 202 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI_CO_SB_LUT4_I3_I2": {
+ "hide_name": 0,
+ "bits": [ 197, 199 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O": {
+ "hide_name": 0,
+ "bits": [ 205 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:8.8-8.10"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 206 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_LUT4_I3_O": {
+ "hide_name": 0,
+ "bits": [ 36, 37, 38 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_O_I2": {
+ "hide_name": 0,
+ "bits": [ 211 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:8.8-8.10"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 211, 212 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I3_I2": {
+ "hide_name": 0,
+ "bits": [ 211, 214, 212 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I3_O": {
+ "hide_name": 0,
+ "bits": [ 65 ],
+ "attributes": {
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I0": {
+ "hide_name": 0,
+ "bits": [ 210 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:8.8-8.10"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I1": {
+ "hide_name": 0,
+ "bits": [ 210, 215, 216, 213 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I1_SB_LUT4_O_I2": {
+ "hide_name": 0,
+ "bits": [ 209 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:8.8-8.10"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 219 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_CARRY_CI_CO_SB_LUT4_I3_I1": {
+ "hide_name": 0,
+ "bits": [ 205, 204, 207, 219 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_CARRY_CI_CO_SB_LUT4_I3_I2": {
+ "hide_name": 0,
+ "bits": [ 205, 207 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_CARRY_CI_CO_SB_LUT4_I3_O": {
+ "hide_name": 0,
+ "bits": [ 209, 208, 218, 217 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I2": {
+ "hide_name": 0,
+ "bits": [ 210, 216 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 217 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_29_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 213 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_30_D": {
+ "hide_name": 0,
+ "bits": [ 222 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "clkdiv_SB_DFF_Q_30_D_SB_LUT4_I3_O": {
+ "hide_name": 0,
+ "bits": [ 223 ],
+ "attributes": {
+ }
+ },
+ "clkdiv_SB_DFF_Q_30_D_SB_LUT4_I3_O_SB_CARRY_I1_CO": {
+ "hide_name": 0,
+ "bits": [ 59 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/techmap.v:332.28-332.64|/usr/bin/../share/yosys/techmap.v:369.4-374.3|/usr/bin/../share/yosys/techmap.v:429.4-433.3|mictoled.v:38.19-38.36|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_31_D": {
+ "hide_name": 0,
+ "bits": [ 224 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:42.22-42.34|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:8.8-8.10"
+ }
+ },
+ "clkdiv_SB_DFF_Q_31_D_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 225 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:42.22-42.34|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_31_D_SB_CARRY_CI_CO_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 227 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:42.22-42.34|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_31_D_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 229 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:42.22-42.34|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_31_D_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 231 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:42.22-42.34|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_31_D_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 233 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:42.22-42.34|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_31_D_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 235 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:42.22-42.34|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_31_D_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 237 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:42.22-42.34|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_31_D_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 239 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:42.22-42.34|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_31_D_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 241 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:42.22-42.34|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_31_D_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 243 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:42.22-42.34|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_31_D_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 245 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:42.22-42.34|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_31_D_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 247 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:42.22-42.34|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_31_D_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 249 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:42.22-42.34|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_31_D_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 251 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:42.22-42.34|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_31_D_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO": {
+ "hide_name": 0,
+ "bits": [ 253 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:42.22-42.34|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "clkdiv_SB_DFF_Q_31_D_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_I1": {
+ "hide_name": 0,
+ "bits": [ 254 ],
+ "attributes": {
+ }
+ },
+ "clkdiv_SB_DFF_Q_31_D_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_I1": {
+ "hide_name": 0,
+ "bits": [ 252 ],
+ "attributes": {
+ }
+ },
+ "clkdiv_SB_DFF_Q_31_D_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_I1": {
+ "hide_name": 0,
+ "bits": [ 250 ],
+ "attributes": {
+ }
+ },
+ "clkdiv_SB_DFF_Q_31_D_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_I1": {
+ "hide_name": 0,
+ "bits": [ 248 ],
+ "attributes": {
+ }
+ },
+ "clkdiv_SB_DFF_Q_31_D_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_I1": {
+ "hide_name": 0,
+ "bits": [ 246 ],
+ "attributes": {
+ }
+ },
+ "clkdiv_SB_DFF_Q_31_D_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_I1": {
+ "hide_name": 0,
+ "bits": [ 244 ],
+ "attributes": {
+ }
+ },
+ "clkdiv_SB_DFF_Q_31_D_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_I1": {
+ "hide_name": 0,
+ "bits": [ 242 ],
+ "attributes": {
+ }
+ },
+ "clkdiv_SB_DFF_Q_31_D_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_I1": {
+ "hide_name": 0,
+ "bits": [ 240 ],
+ "attributes": {
+ }
+ },
+ "clkdiv_SB_DFF_Q_31_D_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_I1": {
+ "hide_name": 0,
+ "bits": [ 238 ],
+ "attributes": {
+ }
+ },
+ "clkdiv_SB_DFF_Q_31_D_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_I1": {
+ "hide_name": 0,
+ "bits": [ 236 ],
+ "attributes": {
+ }
+ },
+ "clkdiv_SB_DFF_Q_31_D_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_I1": {
+ "hide_name": 0,
+ "bits": [ 234 ],
+ "attributes": {
+ }
+ },
+ "clkdiv_SB_DFF_Q_31_D_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_I1": {
+ "hide_name": 0,
+ "bits": [ 232 ],
+ "attributes": {
+ }
+ },
+ "clkdiv_SB_DFF_Q_31_D_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_I1": {
+ "hide_name": 0,
+ "bits": [ 230 ],
+ "attributes": {
+ }
+ },
+ "clkdiv_SB_DFF_Q_31_D_SB_CARRY_CI_CO_SB_CARRY_CI_I1": {
+ "hide_name": 0,
+ "bits": [ 228 ],
+ "attributes": {
+ }
+ },
+ "clkdiv_SB_DFF_Q_31_D_SB_CARRY_CI_I1": {
+ "hide_name": 0,
+ "bits": [ 226 ],
+ "attributes": {
+ }
+ },
+ "coef_440": {
+ "hide_name": 0,
+ "bits": [ "1", "0", "1", "0", "0", "0", "1", "1", "1", "1", "1", "0", "0", "0", "0", "0" ],
+ "attributes": {
+ "src": "mictoled.v:74.16-74.24"
+ }
+ },
+ "comb_out": {
+ "hide_name": 0,
+ "bits": [ 269, 261, 321, 315, 309, 303, 297, 291, 285, 279, 259, 257 ],
+ "attributes": {
+ "src": "mictoled.v:61.26-61.34"
+ }
+ },
+ "comb_out_SB_DFFE_Q_10_D": {
+ "hide_name": 0,
+ "bits": [ 260 ],
+ "attributes": {
+ }
+ },
+ "comb_out_SB_DFFE_Q_10_D_SB_LUT4_O_I2": {
+ "hide_name": 0,
+ "bits": [ "0", 262, 263, 264 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "mictoled.v:64.24-64.56|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "comb_out_SB_DFFE_Q_10_D_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 264 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:64.24-64.56|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "comb_out_SB_DFFE_Q_11_D": {
+ "hide_name": 0,
+ "bits": [ 268 ],
+ "attributes": {
+ }
+ },
+ "comb_out_SB_DFFE_Q_11_D_SB_LUT4_O_I2": {
+ "hide_name": 0,
+ "bits": [ "0", 266, 267, "1" ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "mictoled.v:64.24-64.56|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "comb_out_SB_DFFE_Q_1_D": {
+ "hide_name": 0,
+ "bits": [ 258 ],
+ "attributes": {
+ }
+ },
+ "comb_out_SB_DFFE_Q_1_D_SB_LUT4_O_I2": {
+ "hide_name": 0,
+ "bits": [ "0", 271, 272, 273 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "mictoled.v:64.24-64.56|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "comb_out_SB_DFFE_Q_1_D_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 273 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:64.24-64.56|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "comb_out_SB_DFFE_Q_2_D": {
+ "hide_name": 0,
+ "bits": [ 278 ],
+ "attributes": {
+ }
+ },
+ "comb_out_SB_DFFE_Q_2_D_SB_LUT4_O_I2": {
+ "hide_name": 0,
+ "bits": [ "0", 276, 277, 275 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "mictoled.v:64.24-64.56|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "comb_out_SB_DFFE_Q_2_D_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 275 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:64.24-64.56|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "comb_out_SB_DFFE_Q_3_D": {
+ "hide_name": 0,
+ "bits": [ 284 ],
+ "attributes": {
+ }
+ },
+ "comb_out_SB_DFFE_Q_3_D_SB_LUT4_O_I2": {
+ "hide_name": 0,
+ "bits": [ "0", 282, 283, 281 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "mictoled.v:64.24-64.56|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "comb_out_SB_DFFE_Q_3_D_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 281 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:64.24-64.56|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "comb_out_SB_DFFE_Q_4_D": {
+ "hide_name": 0,
+ "bits": [ 290 ],
+ "attributes": {
+ }
+ },
+ "comb_out_SB_DFFE_Q_4_D_SB_LUT4_O_I2": {
+ "hide_name": 0,
+ "bits": [ "0", 288, 289, 287 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "mictoled.v:64.24-64.56|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "comb_out_SB_DFFE_Q_4_D_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 287 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:64.24-64.56|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "comb_out_SB_DFFE_Q_5_D": {
+ "hide_name": 0,
+ "bits": [ 296 ],
+ "attributes": {
+ }
+ },
+ "comb_out_SB_DFFE_Q_5_D_SB_LUT4_O_I2": {
+ "hide_name": 0,
+ "bits": [ "0", 294, 295, 293 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "mictoled.v:64.24-64.56|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "comb_out_SB_DFFE_Q_5_D_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 293 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:64.24-64.56|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "comb_out_SB_DFFE_Q_6_D": {
+ "hide_name": 0,
+ "bits": [ 302 ],
+ "attributes": {
+ }
+ },
+ "comb_out_SB_DFFE_Q_6_D_SB_LUT4_O_I2": {
+ "hide_name": 0,
+ "bits": [ "0", 300, 301, 299 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "mictoled.v:64.24-64.56|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "comb_out_SB_DFFE_Q_6_D_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 299 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:64.24-64.56|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "comb_out_SB_DFFE_Q_7_D": {
+ "hide_name": 0,
+ "bits": [ 308 ],
+ "attributes": {
+ }
+ },
+ "comb_out_SB_DFFE_Q_7_D_SB_LUT4_O_I2": {
+ "hide_name": 0,
+ "bits": [ "0", 306, 307, 305 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "mictoled.v:64.24-64.56|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "comb_out_SB_DFFE_Q_7_D_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 305 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:64.24-64.56|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "comb_out_SB_DFFE_Q_8_D": {
+ "hide_name": 0,
+ "bits": [ 314 ],
+ "attributes": {
+ }
+ },
+ "comb_out_SB_DFFE_Q_8_D_SB_LUT4_O_I2": {
+ "hide_name": 0,
+ "bits": [ "0", 312, 313, 311 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "mictoled.v:64.24-64.56|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "comb_out_SB_DFFE_Q_8_D_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 311 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:64.24-64.56|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "comb_out_SB_DFFE_Q_9_D": {
+ "hide_name": 0,
+ "bits": [ 320 ],
+ "attributes": {
+ }
+ },
+ "comb_out_SB_DFFE_Q_9_D_SB_LUT4_O_I2": {
+ "hide_name": 0,
+ "bits": [ "0", 318, 319, 317 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "mictoled.v:64.24-64.56|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "comb_out_SB_DFFE_Q_9_D_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 317 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:64.24-64.56|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "comb_out_SB_DFFE_Q_D": {
+ "hide_name": 0,
+ "bits": [ 255 ],
+ "attributes": {
+ }
+ },
+ "comb_out_SB_DFFE_Q_D_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 325 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:64.24-64.56|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "decim_strobe": {
+ "hide_name": 0,
+ "bits": [ 256 ],
+ "attributes": {
+ "src": "mictoled.v:59.10-59.22"
+ }
+ },
+ "decim_strobe_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 326, 327, 328 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "decim_strobe_counter": {
+ "hide_name": 0,
+ "bits": [ 327, 326, 332, 331, 330, 329 ],
+ "attributes": {
+ "src": "mictoled.v:46.15-46.35"
+ }
+ },
+ "decim_strobe_counter_SB_DFFE_Q_1_D": {
+ "hide_name": 0,
+ "bits": [ 335 ],
+ "attributes": {
+ }
+ },
+ "decim_strobe_counter_SB_DFFE_Q_1_D_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 336 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:54.38-54.62|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "decim_strobe_counter_SB_DFFE_Q_2_D": {
+ "hide_name": 0,
+ "bits": [ 338 ],
+ "attributes": {
+ }
+ },
+ "decim_strobe_counter_SB_DFFE_Q_2_D_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 337 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:54.38-54.62|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "decim_strobe_counter_SB_DFFE_Q_3_D": {
+ "hide_name": 0,
+ "bits": [ 340 ],
+ "attributes": {
+ }
+ },
+ "decim_strobe_counter_SB_DFFE_Q_3_D_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 339 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:54.38-54.62|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "decim_strobe_counter_SB_DFFE_Q_4_D": {
+ "hide_name": 0,
+ "bits": [ 341 ],
+ "attributes": {
+ }
+ },
+ "decim_strobe_counter_SB_DFFE_Q_5_D": {
+ "hide_name": 0,
+ "bits": [ 342 ],
+ "attributes": {
+ }
+ },
+ "decim_strobe_counter_SB_DFFE_Q_D": {
+ "hide_name": 0,
+ "bits": [ 333 ],
+ "attributes": {
+ }
+ },
+ "decim_strobe_counter_SB_DFFE_Q_D_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 343 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:54.38-54.62|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "goertzel_strobe_counter": {
+ "hide_name": 0,
+ "bits": [ 367, 368, 365, 362, 359, 356, 353, 350, 347, 345 ],
+ "attributes": {
+ "src": "mictoled.v:78.15-78.38"
+ }
+ },
+ "goertzel_strobe_counter_SB_DFFE_Q_1_D": {
+ "hide_name": 0,
+ "bits": [ 346 ],
+ "attributes": {
+ }
+ },
+ "goertzel_strobe_counter_SB_DFFE_Q_1_D_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 348 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:84.40-84.67|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "goertzel_strobe_counter_SB_DFFE_Q_2_D": {
+ "hide_name": 0,
+ "bits": [ 351 ],
+ "attributes": {
+ }
+ },
+ "goertzel_strobe_counter_SB_DFFE_Q_2_D_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 349 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:84.40-84.67|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "goertzel_strobe_counter_SB_DFFE_Q_3_D": {
+ "hide_name": 0,
+ "bits": [ 354 ],
+ "attributes": {
+ }
+ },
+ "goertzel_strobe_counter_SB_DFFE_Q_3_D_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 352 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:84.40-84.67|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "goertzel_strobe_counter_SB_DFFE_Q_4_D": {
+ "hide_name": 0,
+ "bits": [ 357 ],
+ "attributes": {
+ }
+ },
+ "goertzel_strobe_counter_SB_DFFE_Q_4_D_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 355 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:84.40-84.67|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "goertzel_strobe_counter_SB_DFFE_Q_5_D": {
+ "hide_name": 0,
+ "bits": [ 360 ],
+ "attributes": {
+ }
+ },
+ "goertzel_strobe_counter_SB_DFFE_Q_5_D_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 358 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:84.40-84.67|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "goertzel_strobe_counter_SB_DFFE_Q_6_D": {
+ "hide_name": 0,
+ "bits": [ 363 ],
+ "attributes": {
+ }
+ },
+ "goertzel_strobe_counter_SB_DFFE_Q_6_D_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 361 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:84.40-84.67|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "goertzel_strobe_counter_SB_DFFE_Q_7_D": {
+ "hide_name": 0,
+ "bits": [ 366 ],
+ "attributes": {
+ }
+ },
+ "goertzel_strobe_counter_SB_DFFE_Q_7_D_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 364 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:84.40-84.67|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "goertzel_strobe_counter_SB_DFFE_Q_8_D": {
+ "hide_name": 0,
+ "bits": [ 369 ],
+ "attributes": {
+ }
+ },
+ "goertzel_strobe_counter_SB_DFFE_Q_9_D": {
+ "hide_name": 0,
+ "bits": [ 370 ],
+ "attributes": {
+ }
+ },
+ "goertzel_strobe_counter_SB_DFFE_Q_D": {
+ "hide_name": 0,
+ "bits": [ 344 ],
+ "attributes": {
+ }
+ },
+ "goertzel_strobe_counter_SB_DFFE_Q_D_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 371 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:84.40-84.67|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "goertzel_strobe_counter_SB_LUT4_I0_2_O": {
+ "hide_name": 0,
+ "bits": [ 368, 367, 372, 373 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "integrator": {
+ "hide_name": 0,
+ "bits": [ 266, 262, 318, 312, 306, 300, 294, 288, 282, 276, 271, 324, 1360, 1361, 1362, 1363 ],
+ "attributes": {
+ "src": "mictoled.v:45.32-45.42",
+ "unused_bits": "12 13 14 15"
+ }
+ },
+ "integrator_SB_DFFE_Q_10_D": {
+ "hide_name": 0,
+ "bits": [ 377 ],
+ "attributes": {
+ }
+ },
+ "integrator_SB_DFFE_Q_11_D": {
+ "hide_name": 0,
+ "bits": [ 378 ],
+ "attributes": {
+ }
+ },
+ "integrator_SB_DFFE_Q_1_D": {
+ "hide_name": 0,
+ "bits": [ 376 ],
+ "attributes": {
+ }
+ },
+ "integrator_SB_DFFE_Q_1_D_SB_LUT4_O_I2": {
+ "hide_name": 0,
+ "bits": [ 5, 379, 380 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "integrator_SB_DFFE_Q_1_D_SB_LUT4_O_I2_SB_LUT4_O_1_I3": {
+ "hide_name": 0,
+ "bits": [ 382 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:52.31-52.45|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "integrator_SB_DFFE_Q_2_D": {
+ "hide_name": 0,
+ "bits": [ 384 ],
+ "attributes": {
+ }
+ },
+ "integrator_SB_DFFE_Q_2_D_SB_LUT4_O_I2": {
+ "hide_name": 0,
+ "bits": [ 5, 385, 386 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "integrator_SB_DFFE_Q_2_D_SB_LUT4_O_I2_SB_LUT4_O_1_I3": {
+ "hide_name": 0,
+ "bits": [ 383 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:52.31-52.45|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "integrator_SB_DFFE_Q_2_D_SB_LUT4_O_I2_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 387 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:50.31-50.45|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "integrator_SB_DFFE_Q_3_D": {
+ "hide_name": 0,
+ "bits": [ 390 ],
+ "attributes": {
+ }
+ },
+ "integrator_SB_DFFE_Q_3_D_SB_LUT4_O_I2": {
+ "hide_name": 0,
+ "bits": [ 5, 391, 392 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "integrator_SB_DFFE_Q_3_D_SB_LUT4_O_I2_SB_LUT4_O_1_I3": {
+ "hide_name": 0,
+ "bits": [ 388 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:52.31-52.45|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "integrator_SB_DFFE_Q_3_D_SB_LUT4_O_I2_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 389 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:50.31-50.45|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "integrator_SB_DFFE_Q_4_D": {
+ "hide_name": 0,
+ "bits": [ 395 ],
+ "attributes": {
+ }
+ },
+ "integrator_SB_DFFE_Q_4_D_SB_LUT4_O_I2": {
+ "hide_name": 0,
+ "bits": [ 5, 396, 397 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "integrator_SB_DFFE_Q_4_D_SB_LUT4_O_I2_SB_LUT4_O_1_I3": {
+ "hide_name": 0,
+ "bits": [ 393 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:52.31-52.45|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "integrator_SB_DFFE_Q_4_D_SB_LUT4_O_I2_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 394 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:50.31-50.45|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "integrator_SB_DFFE_Q_5_D": {
+ "hide_name": 0,
+ "bits": [ 400 ],
+ "attributes": {
+ }
+ },
+ "integrator_SB_DFFE_Q_5_D_SB_LUT4_O_I2": {
+ "hide_name": 0,
+ "bits": [ 5, 401, 402 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "integrator_SB_DFFE_Q_5_D_SB_LUT4_O_I2_SB_LUT4_O_1_I3": {
+ "hide_name": 0,
+ "bits": [ 398 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:52.31-52.45|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "integrator_SB_DFFE_Q_5_D_SB_LUT4_O_I2_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 399 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:50.31-50.45|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "integrator_SB_DFFE_Q_6_D": {
+ "hide_name": 0,
+ "bits": [ 405 ],
+ "attributes": {
+ }
+ },
+ "integrator_SB_DFFE_Q_6_D_SB_LUT4_O_I2": {
+ "hide_name": 0,
+ "bits": [ 5, 406, 407 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "integrator_SB_DFFE_Q_6_D_SB_LUT4_O_I2_SB_LUT4_O_1_I3": {
+ "hide_name": 0,
+ "bits": [ 403 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:52.31-52.45|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "integrator_SB_DFFE_Q_6_D_SB_LUT4_O_I2_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 404 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:50.31-50.45|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "integrator_SB_DFFE_Q_7_D": {
+ "hide_name": 0,
+ "bits": [ 410 ],
+ "attributes": {
+ }
+ },
+ "integrator_SB_DFFE_Q_7_D_SB_LUT4_O_I2": {
+ "hide_name": 0,
+ "bits": [ 5, 411, 412 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "integrator_SB_DFFE_Q_7_D_SB_LUT4_O_I2_SB_LUT4_O_1_I3": {
+ "hide_name": 0,
+ "bits": [ 408 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:52.31-52.45|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "integrator_SB_DFFE_Q_7_D_SB_LUT4_O_I2_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 409 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:50.31-50.45|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "integrator_SB_DFFE_Q_8_D": {
+ "hide_name": 0,
+ "bits": [ 415 ],
+ "attributes": {
+ }
+ },
+ "integrator_SB_DFFE_Q_8_D_SB_LUT4_O_I2": {
+ "hide_name": 0,
+ "bits": [ 5, 416, 417 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "integrator_SB_DFFE_Q_8_D_SB_LUT4_O_I2_SB_LUT4_O_1_I3": {
+ "hide_name": 0,
+ "bits": [ 413 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:52.31-52.45|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "integrator_SB_DFFE_Q_8_D_SB_LUT4_O_I2_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 414 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:50.31-50.45|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "integrator_SB_DFFE_Q_9_D": {
+ "hide_name": 0,
+ "bits": [ 420 ],
+ "attributes": {
+ }
+ },
+ "integrator_SB_DFFE_Q_9_D_SB_LUT4_O_I2": {
+ "hide_name": 0,
+ "bits": [ 5, 421, 422 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "integrator_SB_DFFE_Q_9_D_SB_LUT4_O_I2_SB_LUT4_O_1_I3": {
+ "hide_name": 0,
+ "bits": [ 418 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:52.31-52.45|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "integrator_SB_DFFE_Q_9_D_SB_LUT4_O_I2_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 419 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:50.31-50.45|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "integrator_SB_DFFE_Q_D": {
+ "hide_name": 0,
+ "bits": [ 375 ],
+ "attributes": {
+ }
+ },
+ "integrator_SB_DFFE_Q_D_SB_LUT4_O_I1": {
+ "hide_name": 0,
+ "bits": [ 5, 423, 324, 424 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "mictoled.v:50.31-50.45|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "integrator_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 425 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:52.31-52.45|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "integrator_SB_DFFE_Q_D_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 424 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:50.31-50.45|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "integrator_SB_DFFE_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_CI": {
+ "hide_name": 0,
+ "bits": [ 381 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:50.31-50.45|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "lcol1": {
+ "hide_name": 0,
+ "bits": [ "0" ],
+ "attributes": {
+ "src": "mictoled.v:33.16-33.21"
+ }
+ },
+ "lcol2": {
+ "hide_name": 0,
+ "bits": [ "1" ],
+ "attributes": {
+ "src": "mictoled.v:33.30-33.35"
+ }
+ },
+ "lcol3": {
+ "hide_name": 0,
+ "bits": [ "1" ],
+ "attributes": {
+ "src": "mictoled.v:33.44-33.49"
+ }
+ },
+ "lcol4": {
+ "hide_name": 0,
+ "bits": [ "1" ],
+ "attributes": {
+ "src": "mictoled.v:33.58-33.63"
+ }
+ },
+ "led1": {
+ "hide_name": 0,
+ "bits": [ 6 ],
+ "attributes": {
+ "src": "mictoled.v:31.16-31.20"
+ }
+ },
+ "led2": {
+ "hide_name": 0,
+ "bits": [ 7 ],
+ "attributes": {
+ "src": "mictoled.v:31.29-31.33"
+ }
+ },
+ "led3": {
+ "hide_name": 0,
+ "bits": [ 8 ],
+ "attributes": {
+ "src": "mictoled.v:31.42-31.46"
+ }
+ },
+ "led4": {
+ "hide_name": 0,
+ "bits": [ 9 ],
+ "attributes": {
+ "src": "mictoled.v:31.55-31.59"
+ }
+ },
+ "led5": {
+ "hide_name": 0,
+ "bits": [ 10 ],
+ "attributes": {
+ "src": "mictoled.v:32.16-32.20"
+ }
+ },
+ "led6": {
+ "hide_name": 0,
+ "bits": [ 11 ],
+ "attributes": {
+ "src": "mictoled.v:32.29-32.33"
+ }
+ },
+ "led7": {
+ "hide_name": 0,
+ "bits": [ 12 ],
+ "attributes": {
+ "src": "mictoled.v:32.42-32.46"
+ }
+ },
+ "led8": {
+ "hide_name": 0,
+ "bits": [ 13 ],
+ "attributes": {
+ "src": "mictoled.v:32.55-32.59"
+ }
+ },
+ "m_squared_440": {
+ "hide_name": 0,
+ "bits": [ "x", "x", "x", "x", "x", "x", 426, 427, 428, 429, 430, 431, 432, 433, "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
+ "attributes": {
+ "src": "mictoled.v:80.16-80.29"
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_1_D": {
+ "hide_name": 0,
+ "bits": [ 436 ],
+ "attributes": {
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_1_D_SB_LUT4_O_I1": {
+ "hide_name": 0,
+ "bits": [ "0", 437, 438, 439 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_1_D_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 439 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_2_D": {
+ "hide_name": 0,
+ "bits": [ 449 ],
+ "attributes": {
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_2_D_SB_LUT4_O_I1": {
+ "hide_name": 0,
+ "bits": [ "0", 447, 448, 446 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_2_D_SB_LUT4_O_I1_SB_LUT4_O_I1": {
+ "hide_name": 0,
+ "bits": [ 443, 444, 445 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_2_D_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 446 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_3_D": {
+ "hide_name": 0,
+ "bits": [ 462 ],
+ "attributes": {
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_3_D_SB_LUT4_O_I1": {
+ "hide_name": 0,
+ "bits": [ "0", 460, 461, 459 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_3_D_SB_LUT4_O_I1_SB_LUT4_O_I1": {
+ "hide_name": 0,
+ "bits": [ 450, 451, 452 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_3_D_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 459 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_4_D": {
+ "hide_name": 0,
+ "bits": [ 472 ],
+ "attributes": {
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_4_D_SB_LUT4_O_I1": {
+ "hide_name": 0,
+ "bits": [ "0", 470, 471, 469 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_4_D_SB_LUT4_O_I1_SB_LUT4_O_I1": {
+ "hide_name": 0,
+ "bits": [ 463, 464, 465 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_4_D_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 469 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_5_D": {
+ "hide_name": 0,
+ "bits": [ 482 ],
+ "attributes": {
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_5_D_SB_LUT4_O_I1": {
+ "hide_name": 0,
+ "bits": [ "0", 480, 481, 479 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_5_D_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 479 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_6_D": {
+ "hide_name": 0,
+ "bits": [ 489 ],
+ "attributes": {
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_6_D_SB_LUT4_O_I1": {
+ "hide_name": 0,
+ "bits": [ "0", 487, 488, 486 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_6_D_SB_LUT4_O_I1_SB_LUT4_O_I1": {
+ "hide_name": 0,
+ "bits": [ 483, 484, 485 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_6_D_SB_LUT4_O_I1_SB_LUT4_O_I1_SB_LUT4_O_I2": {
+ "hide_name": 0,
+ "bits": [ 493, 494 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_6_D_SB_LUT4_O_I1_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O_1_I2": {
+ "hide_name": 0,
+ "bits": [ 502, 503 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_6_D_SB_LUT4_O_I1_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O": {
+ "hide_name": 0,
+ "bits": [ 504, 507, 511 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_6_D_SB_LUT4_O_I1_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_1_I3": {
+ "hide_name": 0,
+ "bits": [ 512, 513, 514 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_6_D_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 486 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D": {
+ "hide_name": 0,
+ "bits": [ 521 ],
+ "attributes": {
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I1": {
+ "hide_name": 0,
+ "bits": [ "0", 519, 520, 518 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I1_SB_LUT4_O_I1": {
+ "hide_name": 0,
+ "bits": [ 490, 491, 492 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I1_SB_LUT4_O_I1_SB_LUT4_O_I2": {
+ "hide_name": 0,
+ "bits": [ 524, 525 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I1_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O_1_I2": {
+ "hide_name": 0,
+ "bits": [ 532, 533 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I1_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O_I2": {
+ "hide_name": 0,
+ "bits": [ 529, 530, 538 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I1_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_O_I0": {
+ "hide_name": 0,
+ "bits": [ 534, 535, 536, 537 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I1_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_O_I1": {
+ "hide_name": 0,
+ "bits": [ 541, 535, 512 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 518 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_CI": {
+ "hide_name": 0,
+ "bits": [ 543 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI": {
+ "hide_name": 0,
+ "bits": [ 546 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0": {
+ "hide_name": 0,
+ "bits": [ 549 ],
+ "attributes": {
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1": {
+ "hide_name": 0,
+ "bits": [ 550 ],
+ "attributes": {
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 516, 540, 553 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I3_SB_LUT4_O_I0": {
+ "hide_name": 0,
+ "bits": [ 554, 537, 560, 569 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I3_SB_LUT4_O_I0_SB_LUT4_O_1_I2": {
+ "hide_name": 0,
+ "bits": [ 537, 558, 559 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I3_SB_LUT4_O_I0_SB_LUT4_O_1_I2_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 564, 562 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I3_SB_LUT4_O_I0_SB_LUT4_O_1_I2_SB_LUT4_O_I3_SB_LUT4_I2_O": {
+ "hide_name": 0,
+ "bits": [ 540, 563 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I3_SB_LUT4_O_I0_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 529, 557 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I3_SB_LUT4_O_I2": {
+ "hide_name": 0,
+ "bits": [ 554, 537, 555, 556 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_I0": {
+ "hide_name": 0,
+ "bits": [ 547 ],
+ "attributes": {
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_I1": {
+ "hide_name": 0,
+ "bits": [ 548 ],
+ "attributes": {
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I2": {
+ "hide_name": 0,
+ "bits": [ 551, 552 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_I0": {
+ "hide_name": 0,
+ "bits": [ 544 ],
+ "attributes": {
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_I0_SB_LUT4_O_I2": {
+ "hide_name": 0,
+ "bits": [ 522, 523 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_I0_SB_LUT4_O_I2_SB_LUT4_O_1_I1": {
+ "hide_name": 0,
+ "bits": [ 526, 527, 528 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_I0_SB_LUT4_O_I2_SB_LUT4_O_I1": {
+ "hide_name": 0,
+ "bits": [ 574, 575, 576 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_I1": {
+ "hide_name": 0,
+ "bits": [ 545 ],
+ "attributes": {
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_I1_SB_LUT4_O_I2": {
+ "hide_name": 0,
+ "bits": [ 570, 571 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_I2": {
+ "hide_name": 0,
+ "bits": [ 540, 572, 573 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_O_1_I1": {
+ "hide_name": 0,
+ "bits": [ 580, 581, 582 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_O_1_I1_SB_LUT4_O_I1": {
+ "hide_name": 0,
+ "bits": [ 512, 583, 584, 585 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_O_1_I1_SB_LUT4_O_I2": {
+ "hide_name": 0,
+ "bits": [ 541, 535, 584 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_O_1_I1_SB_LUT4_O_I2_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 587, 516, 541, 588 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_O_1_I1_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_I3_O": {
+ "hide_name": 0,
+ "bits": [ 587, 542, 589, 590 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_O_I1": {
+ "hide_name": 0,
+ "bits": [ 566, 567, 568 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_O_I1_SB_LUT4_O_1_I3": {
+ "hide_name": 0,
+ "bits": [ 561, 542, 591, 592 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_O_I1_SB_LUT4_O_1_I3_SB_LUT4_I2_O": {
+ "hide_name": 0,
+ "bits": [ 577, 578, 579 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_O_I1_SB_LUT4_O_1_I3_SB_LUT4_I2_O_SB_LUT4_O_1_I2": {
+ "hide_name": 0,
+ "bits": [ 505, 506 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_7_D_SB_LUT4_O_I3_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_O_I1_SB_LUT4_O_2_I3": {
+ "hide_name": 0,
+ "bits": [ 516, 537, 586 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_D": {
+ "hide_name": 0,
+ "bits": [ 434 ],
+ "attributes": {
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_D_SB_LUT4_O_I1": {
+ "hide_name": 0,
+ "bits": [ "0", 595, 596, 597 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_1_I2": {
+ "hide_name": 0,
+ "bits": [ 540, 598, 599 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_1_I2_SB_LUT4_O_1_I0": {
+ "hide_name": 0,
+ "bits": [ 603, 604, 605, 606 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_1_I2_SB_LUT4_O_1_I0_SB_LUT4_O_1_I0": {
+ "hide_name": 0,
+ "bits": [ 610, 611, 612 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_1_I2_SB_LUT4_O_1_I0_SB_LUT4_O_1_I3": {
+ "hide_name": 0,
+ "bits": [ 610, 611, 612, 613 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_1_I2_SB_LUT4_O_1_I0_SB_LUT4_O_1_I3_SB_LUT4_O_I1": {
+ "hide_name": 0,
+ "bits": [ 621, 622, 623 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_1_I2_SB_LUT4_O_1_I0_SB_LUT4_O_1_I3_SB_LUT4_O_I1_SB_LUT4_O_I1": {
+ "hide_name": 0,
+ "bits": [ 624, 625, 626 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_1_I2_SB_LUT4_O_I1": {
+ "hide_name": 0,
+ "bits": [ "0", 600, 601, 602 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_1_I2_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 602 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I1": {
+ "hide_name": 0,
+ "bits": [ 440, 441, 442 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I1_SB_LUT4_O_1_I1": {
+ "hide_name": 0,
+ "bits": [ 456, 457, 458 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I1_SB_LUT4_O_2_I1": {
+ "hide_name": 0,
+ "bits": [ 630, 631, 632 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O_I1_SB_LUT4_O_2_I1_SB_LUT4_O_I1": {
+ "hide_name": 0,
+ "bits": [ 649, 650, 651 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_D_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 597 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "m_squared_440_SB_DFFE_Q_E": {
+ "hide_name": 0,
+ "bits": [ 435 ],
+ "attributes": {
+ }
+ },
+ "mic_clk": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "mictoled.v:28.16-28.23"
+ }
+ },
+ "mic_clk_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 655 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:42.22-42.34|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "mic_clk_SB_LUT4_O_I3_SB_CARRY_CO_CI": {
+ "hide_name": 0,
+ "bits": [ 656 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:42.22-42.34|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "mic_clk_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI": {
+ "hide_name": 0,
+ "bits": [ 658 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:42.22-42.34|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "mic_clk_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI": {
+ "hide_name": 0,
+ "bits": [ 660 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:42.22-42.34|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "mic_clk_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI": {
+ "hide_name": 0,
+ "bits": [ 662 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:42.22-42.34|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "mic_clk_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI": {
+ "hide_name": 0,
+ "bits": [ 664 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:42.22-42.34|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "mic_clk_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI": {
+ "hide_name": 0,
+ "bits": [ 666 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:42.22-42.34|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "mic_clk_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI": {
+ "hide_name": 0,
+ "bits": [ 668 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:42.22-42.34|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "mic_clk_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI": {
+ "hide_name": 0,
+ "bits": [ 670 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:42.22-42.34|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "mic_clk_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI": {
+ "hide_name": 0,
+ "bits": [ 672 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:42.22-42.34|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "mic_clk_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI": {
+ "hide_name": 0,
+ "bits": [ 674 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:42.22-42.34|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "mic_clk_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI": {
+ "hide_name": 0,
+ "bits": [ 676 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:42.22-42.34|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "mic_clk_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI": {
+ "hide_name": 0,
+ "bits": [ 678 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:42.22-42.34|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "mic_clk_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI": {
+ "hide_name": 0,
+ "bits": [ 680 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:42.22-42.34|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "mic_clk_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI": {
+ "hide_name": 0,
+ "bits": [ 682 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:42.22-42.34|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "mic_clk_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI": {
+ "hide_name": 0,
+ "bits": [ 684 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "mictoled.v:42.22-42.34|/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "mic_clk_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1": {
+ "hide_name": 0,
+ "bits": [ 686 ],
+ "attributes": {
+ }
+ },
+ "mic_clk_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1": {
+ "hide_name": 0,
+ "bits": [ 685 ],
+ "attributes": {
+ }
+ },
+ "mic_clk_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1": {
+ "hide_name": 0,
+ "bits": [ 683 ],
+ "attributes": {
+ }
+ },
+ "mic_clk_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1": {
+ "hide_name": 0,
+ "bits": [ 681 ],
+ "attributes": {
+ }
+ },
+ "mic_clk_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1": {
+ "hide_name": 0,
+ "bits": [ 679 ],
+ "attributes": {
+ }
+ },
+ "mic_clk_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1": {
+ "hide_name": 0,
+ "bits": [ 677 ],
+ "attributes": {
+ }
+ },
+ "mic_clk_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1": {
+ "hide_name": 0,
+ "bits": [ 675 ],
+ "attributes": {
+ }
+ },
+ "mic_clk_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1": {
+ "hide_name": 0,
+ "bits": [ 673 ],
+ "attributes": {
+ }
+ },
+ "mic_clk_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1": {
+ "hide_name": 0,
+ "bits": [ 671 ],
+ "attributes": {
+ }
+ },
+ "mic_clk_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1": {
+ "hide_name": 0,
+ "bits": [ 669 ],
+ "attributes": {
+ }
+ },
+ "mic_clk_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1": {
+ "hide_name": 0,
+ "bits": [ 667 ],
+ "attributes": {
+ }
+ },
+ "mic_clk_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1": {
+ "hide_name": 0,
+ "bits": [ 665 ],
+ "attributes": {
+ }
+ },
+ "mic_clk_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1": {
+ "hide_name": 0,
+ "bits": [ 663 ],
+ "attributes": {
+ }
+ },
+ "mic_clk_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1": {
+ "hide_name": 0,
+ "bits": [ 661 ],
+ "attributes": {
+ }
+ },
+ "mic_clk_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_I1": {
+ "hide_name": 0,
+ "bits": [ 659 ],
+ "attributes": {
+ }
+ },
+ "mic_clk_SB_LUT4_O_I3_SB_CARRY_CO_I1": {
+ "hide_name": 0,
+ "bits": [ 657 ],
+ "attributes": {
+ }
+ },
+ "mic_dat": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "mictoled.v:29.15-29.22"
+ }
+ },
+ "mic_strobe": {
+ "hide_name": 0,
+ "bits": [ 334 ],
+ "attributes": {
+ "src": "mictoled.v:41.10-41.20"
+ }
+ },
+ "mic_strobe_SB_LUT4_O_I0": {
+ "hide_name": 0,
+ "bits": [ 687, 688, 689, 690 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "mic_strobe_SB_LUT4_O_I0_SB_LUT4_O_2_I2": {
+ "hide_name": 0,
+ "bits": [ 691, 692 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "mic_strobe_SB_LUT4_O_I0_SB_LUT4_O_3_I1": {
+ "hide_name": 0,
+ "bits": [ 18, 693, 694, 695 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "mic_strobe_SB_LUT4_O_I0_SB_LUT4_O_3_I1_SB_LUT4_O_2_I3": {
+ "hide_name": 0,
+ "bits": [ 19, 20, 21, 696 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "previous_integrator": {
+ "hide_name": 0,
+ "bits": [ 270, 265, 322, 316, 310, 304, 298, 292, 286, 280, 274, 323 ],
+ "attributes": {
+ }
+ },
+ "q1_440": {
+ "hide_name": 0,
+ "bits": [ 516, 542, 561, 565, 724, 702, 723, 757, 754, 745, 749, 765, 742, 1271, 700, 698 ],
+ "attributes": {
+ "src": "mictoled.v:76.16-76.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_10_D": {
+ "hide_name": 0,
+ "bits": [ 701 ],
+ "attributes": {
+ }
+ },
+ "q1_440_SB_DFFESR_Q_10_D_SB_LUT4_O_I1": {
+ "hide_name": 0,
+ "bits": [ "0", 703, 704, 705 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_10_D_SB_LUT4_O_I1_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 303, 706, 707 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_10_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I1": {
+ "hide_name": 0,
+ "bits": [ "0", 709, 710, 711 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_10_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I1_SB_LUT4_O_1_I3": {
+ "hide_name": 0,
+ "bits": [ 715, 716, 717, 718 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_10_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I1_SB_LUT4_O_I1": {
+ "hide_name": 0,
+ "bits": [ 712, 713, 714 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_10_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 711 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_10_D_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 705 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_11_D": {
+ "hide_name": 0,
+ "bits": [ 730 ],
+ "attributes": {
+ }
+ },
+ "q1_440_SB_DFFESR_Q_11_D_SB_LUT4_O_I1": {
+ "hide_name": 0,
+ "bits": [ "0", 728, 729, 727 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_11_D_SB_LUT4_O_I1_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 515, 309, 708 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_11_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I1": {
+ "hide_name": 0,
+ "bits": [ "0", 725, 726, 639 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_11_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I1_SB_LUT4_O_1_I1": {
+ "hide_name": 0,
+ "bits": [ 633, 634, 635 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_11_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 639 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_11_D_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 727 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_12_D": {
+ "hide_name": 0,
+ "bits": [ 734 ],
+ "attributes": {
+ }
+ },
+ "q1_440_SB_DFFESR_Q_12_D_SB_LUT4_O_I1": {
+ "hide_name": 0,
+ "bits": [ "0", 732, 733, 731 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_12_D_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 731 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D": {
+ "hide_name": 0,
+ "bits": [ 739 ],
+ "attributes": {
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1": {
+ "hide_name": 0,
+ "bits": [ "0", 737, 738, 736 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 742, 516, 540, 735 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_2_O": {
+ "hide_name": 0,
+ "bits": [ 748, 752, 744 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_2_O_SB_LUT4_O_1_I2": {
+ "hide_name": 0,
+ "bits": [ 749, 542, 750, 751 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O": {
+ "hide_name": 0,
+ "bits": [ 767, 760, 753, 756 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2": {
+ "hide_name": 0,
+ "bits": [ 757, 724, 758, 759 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O": {
+ "hide_name": 0,
+ "bits": [ 762, 761, 763 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 540, 755, 768 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I3_SB_LUT4_I2_O": {
+ "hide_name": 0,
+ "bits": [ 770, 769, 771 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I1_O": {
+ "hide_name": 0,
+ "bits": [ 774, 775, 772 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_O_I2": {
+ "hide_name": 0,
+ "bits": [ 702, 724, 776, 777 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O": {
+ "hide_name": 0,
+ "bits": [ 779, 780, 778 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I1_O": {
+ "hide_name": 0,
+ "bits": [ 782, 781, 783 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I3_SB_LUT4_I3_O": {
+ "hide_name": 0,
+ "bits": [ 702, 787, 788, 789 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I2": {
+ "hide_name": 0,
+ "bits": [ 791, 792 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O": {
+ "hide_name": 0,
+ "bits": [ 793, 760, 794 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_I1_1_O": {
+ "hide_name": 0,
+ "bits": [ 796, 797, 798 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_I1_O": {
+ "hide_name": 0,
+ "bits": [ 800, 801, 795 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_2_O_SB_LUT4_O_I2": {
+ "hide_name": 0,
+ "bits": [ 745, 565, 746, 747 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O": {
+ "hide_name": 0,
+ "bits": [ 741, 743, 807, 811 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1": {
+ "hide_name": 0,
+ "bits": [ 808, 809, 810 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_1_I2": {
+ "hide_name": 0,
+ "bits": [ 587, 812, 813, 814 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_1_I2_SB_LUT4_O_I2": {
+ "hide_name": 0,
+ "bits": [ 587, 816, 817, 822 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_1_I2_SB_LUT4_O_I2_SB_LUT4_O_1_I2": {
+ "hide_name": 0,
+ "bits": [ 587, 819, 820, 826 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_1_I2_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_1_I3": {
+ "hide_name": 0,
+ "bits": [ 587, 823, 824, 825 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_1_I2_SB_LUT4_O_I2_SB_LUT4_O_1_I3": {
+ "hide_name": 0,
+ "bits": [ 587, 819, 820, 821 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_1_I2_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 587, 816, 817, 818 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2": {
+ "hide_name": 0,
+ "bits": [ 515, 812, 827, 828 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1": {
+ "hide_name": 0,
+ "bits": [ 830, 831, 832 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2": {
+ "hide_name": 0,
+ "bits": [ 835, 823, 836 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O": {
+ "hide_name": 0,
+ "bits": [ 839, 840, 838 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2": {
+ "hide_name": 0,
+ "bits": [ 835, 844, 845 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O": {
+ "hide_name": 0,
+ "bits": [ 848, 849, 847 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I1_O": {
+ "hide_name": 0,
+ "bits": [ 850, 851, 852 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2": {
+ "hide_name": 0,
+ "bits": [ 835, 593, 854 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O": {
+ "hide_name": 0,
+ "bits": [ 857, 858, 856 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I1_O": {
+ "hide_name": 0,
+ "bits": [ 859, 860, 861 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I1_O_SB_LUT4_O_I2": {
+ "hide_name": 0,
+ "bits": [ 587, 823, 824, 863 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2": {
+ "hide_name": 0,
+ "bits": [ 835, 563, 865 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O": {
+ "hide_name": 0,
+ "bits": [ 868, 867, 869 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I1_O": {
+ "hide_name": 0,
+ "bits": [ 870, 871, 872 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2": {
+ "hide_name": 0,
+ "bits": [ 835, 557, 877 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O": {
+ "hide_name": 0,
+ "bits": [ 880, 881, 879 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I1_O": {
+ "hide_name": 0,
+ "bits": [ 882, 898, 883 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2": {
+ "hide_name": 0,
+ "bits": [ 835, 542, 885 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O": {
+ "hide_name": 0,
+ "bits": [ 833, 516, 888, 887 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_1_O": {
+ "hide_name": 0,
+ "bits": [ 890, 891, 892 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_1_O_SB_LUT4_O_1_I2": {
+ "hide_name": 0,
+ "bits": [ 587, 593, 896, 897 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_1_O_SB_LUT4_O_1_I2_SB_LUT4_I2_1_I3": {
+ "hide_name": 0,
+ "bits": [ 587, 593, 897, 899 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_1_O_SB_LUT4_O_1_I2_SB_LUT4_I2_1_O": {
+ "hide_name": 0,
+ "bits": [ 587, 844, 874, 875 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_1_O_SB_LUT4_O_1_I2_SB_LUT4_I2_1_O_SB_LUT4_I2_I3": {
+ "hide_name": 0,
+ "bits": [ 587, 844, 874, 900 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_1_O_SB_LUT4_O_1_I2_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 587, 563, 894, 901 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_1_O_SB_LUT4_O_I2": {
+ "hide_name": 0,
+ "bits": [ 587, 563, 894, 895 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_1_O_SB_LUT4_O_I2_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 587, 557, 902, 903 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O": {
+ "hide_name": 0,
+ "bits": [ 904, 889 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_1_O": {
+ "hide_name": 0,
+ "bits": [ 906, 907, 908 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_1_O_SB_LUT4_I1_O": {
+ "hide_name": 0,
+ "bits": [ 913, 909, 917 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_1_O_SB_LUT4_I1_O_SB_LUT4_O_1_I1": {
+ "hide_name": 0,
+ "bits": [ 914, 915, 916 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_1_O_SB_LUT4_O_I1": {
+ "hide_name": 0,
+ "bits": [ 918, 893, 919 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_O": {
+ "hide_name": 0,
+ "bits": [ 905, 921, 922 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_I1_O": {
+ "hide_name": 0,
+ "bits": [ 932, 923, 924 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_1_I2": {
+ "hide_name": 0,
+ "bits": [ 927, 928 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O": {
+ "hide_name": 0,
+ "bits": [ 930, 931, 929 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I1": {
+ "hide_name": 0,
+ "bits": [ 933, 702, 516, 938 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_LUT4_I0_I3": {
+ "hide_name": 0,
+ "bits": [ 702, 516, 938 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_LUT4_I0_I3_SB_LUT4_I3_O": {
+ "hide_name": 0,
+ "bits": [ 941, 940, 939, 942 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I1_1_O": {
+ "hide_name": 0,
+ "bits": [ 935, 936, 937 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I1_1_O_SB_LUT4_I1_O": {
+ "hide_name": 0,
+ "bits": [ 910, 911, 912 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I1_O": {
+ "hide_name": 0,
+ "bits": [ 540, 933, 934, 823 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_LUT4_I0_O": {
+ "hide_name": 0,
+ "bits": [ 951, 952, 953, 939 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_LUT4_I1_O": {
+ "hide_name": 0,
+ "bits": [ 958, 955, 961 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_LUT4_O_I2": {
+ "hide_name": 0,
+ "bits": [ 561, 963 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_I3_O": {
+ "hide_name": 0,
+ "bits": [ 565, 542, 564, 965 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_I3_O_SB_LUT4_I3_O": {
+ "hide_name": 0,
+ "bits": [ 540, 593, 594 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 561, 963, 964 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O": {
+ "hide_name": 0,
+ "bits": [ 540, 956, 844, 957 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I2": {
+ "hide_name": 0,
+ "bits": [ 968, 969, 967 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I3": {
+ "hide_name": 0,
+ "bits": [ 962, 966, 967 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_I2": {
+ "hide_name": 0,
+ "bits": [ 925, 926 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O": {
+ "hide_name": 0,
+ "bits": [ 973, 974 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I2_O": {
+ "hide_name": 0,
+ "bits": [ 976, 975, 977 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2": {
+ "hide_name": 0,
+ "bits": [ 959, 960 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 835, 516, 981, 990 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I3": {
+ "hide_name": 0,
+ "bits": [ 835, 542, 885, 886 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I3": {
+ "hide_name": 0,
+ "bits": [ 835, 557, 877, 878 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 842, 516, 876 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2_I3": {
+ "hide_name": 0,
+ "bits": [ 842, 516, 876, 991 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2_O": {
+ "hide_name": 0,
+ "bits": [ 993, 516, 992 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I3_1_O": {
+ "hide_name": 0,
+ "bits": [ 614, 615, 616, 617 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I3_O": {
+ "hide_name": 0,
+ "bits": [ 994, 998 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_I2_O": {
+ "hide_name": 0,
+ "bits": [ 1002, 1003, 1001 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_1_I1": {
+ "hide_name": 0,
+ "bits": [ 880, 1008, 881, 879 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_1_I1_SB_LUT4_I1_O": {
+ "hide_name": 0,
+ "bits": [ 1010, 1009 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_1_I1_SB_LUT4_I1_O_SB_LUT4_I2_1_O": {
+ "hide_name": 0,
+ "bits": [ 1011, 1012, 1013 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_1_I1_SB_LUT4_I1_O_SB_LUT4_I2_1_O_SB_LUT4_I1_O": {
+ "hide_name": 0,
+ "bits": [ 646, 647, 648 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_1_I1_SB_LUT4_I1_O_SB_LUT4_I2_1_O_SB_LUT4_I1_O_SB_LUT4_O_1_I1": {
+ "hide_name": 0,
+ "bits": [ 652, 653, 654 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_1_I1_SB_LUT4_I1_O_SB_LUT4_I2_1_O_SB_LUT4_O_1_I1": {
+ "hide_name": 0,
+ "bits": [ 1015, 1016, 1017 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I3": {
+ "hide_name": 0,
+ "bits": [ 835, 563, 865, 866 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 842, 542, 864 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2_I3": {
+ "hide_name": 0,
+ "bits": [ 842, 542, 864, 1023 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2_O": {
+ "hide_name": 0,
+ "bits": [ 1025, 1024 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I2_1_O": {
+ "hide_name": 0,
+ "bits": [ 1026, 1030, 1031 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I2_O": {
+ "hide_name": 0,
+ "bits": [ 999, 1000 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_I2_O": {
+ "hide_name": 0,
+ "bits": [ 1033, 1032, 1034 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I3": {
+ "hide_name": 0,
+ "bits": [ 835, 593, 854, 855 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 842, 557, 853 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2_I3": {
+ "hide_name": 0,
+ "bits": [ 842, 557, 853, 1039 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2_O": {
+ "hide_name": 0,
+ "bits": [ 1027, 1028, 1029 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_1_I3": {
+ "hide_name": 0,
+ "bits": [ 835, 844, 845, 846 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 842, 563, 843 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2_I3": {
+ "hide_name": 0,
+ "bits": [ 842, 563, 843, 1042 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2_O": {
+ "hide_name": 0,
+ "bits": [ 1045, 1049, 1052, 1043 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_O_2_I1": {
+ "hide_name": 0,
+ "bits": [ 993, 1050, 563, 1051 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_O_2_I1_SB_LUT4_O_1_I2": {
+ "hide_name": 0,
+ "bits": [ 1040, 516, 1041 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_O_2_I1_SB_LUT4_O_1_I3": {
+ "hide_name": 0,
+ "bits": [ 1040, 516, 1041, 1053 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 884, 833, 706, 1044 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_2_I3": {
+ "hide_name": 0,
+ "bits": [ 835, 823, 836, 837 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1_I1_SB_LUT4_O_I2": {
+ "hide_name": 0,
+ "bits": [ 833, 834, 823 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I1_SB_LUT4_O_2_I2_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 587, 812, 813, 829 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1": {
+ "hide_name": 0,
+ "bits": [ 804, 805 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O": {
+ "hide_name": 0,
+ "bits": [ 607, 608, 609 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_1_I1": {
+ "hide_name": 0,
+ "bits": [ 1004, 1056, 1057 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_1_I1_SB_LUT4_O_1_I1": {
+ "hide_name": 0,
+ "bits": [ 1014, 873, 862 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2": {
+ "hide_name": 0,
+ "bits": [ 1054, 1055 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O": {
+ "hide_name": 0,
+ "bits": [ 643, 644, 645 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2": {
+ "hide_name": 0,
+ "bits": [ 1059, 1060 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O": {
+ "hide_name": 0,
+ "bits": [ 1061, 1062, 1063 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I1_1_O": {
+ "hide_name": 0,
+ "bits": [ 453, 454, 455 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2": {
+ "hide_name": 0,
+ "bits": [ 1070, 1071 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O": {
+ "hide_name": 0,
+ "bits": [ 1069, 1064, 1065 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I3": {
+ "hide_name": 0,
+ "bits": [ 884, 540, 1074 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I3_SB_LUT4_O_I2": {
+ "hide_name": 0,
+ "bits": [ 515, 539, 1075, 1076 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I3_SB_LUT4_O_I2_SB_LUT4_I2_O": {
+ "hide_name": 0,
+ "bits": [ 983, 984, 985, 986 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I3_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 1077, 1078 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I3_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I3_SB_LUT4_I3_O": {
+ "hide_name": 0,
+ "bits": [ 987, 988, 989 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I3_SB_LUT4_O_I2_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 1086, 498 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I3_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_I2_O": {
+ "hide_name": 0,
+ "bits": [ 1077, 1076 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I3_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I2_O": {
+ "hide_name": 0,
+ "bits": [ 1079, 1080 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I3_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_I3_1_O": {
+ "hide_name": 0,
+ "bits": [ 515, 587, 1084, 1085 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I3_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_I3_1_O_SB_LUT4_I2_O": {
+ "hide_name": 0,
+ "bits": [ 1090, 1087 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I3_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_I3_2_O": {
+ "hide_name": 0,
+ "bits": [ 515, 1081, 1082, 1083 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2": {
+ "hide_name": 0,
+ "bits": [ 1072, 1073 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O": {
+ "hide_name": 0,
+ "bits": [ 1091, 1092 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I2_1_O": {
+ "hide_name": 0,
+ "bits": [ 1094, 1095, 1096 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I2_1_O_SB_LUT4_I1_1_O": {
+ "hide_name": 0,
+ "bits": [ 466, 467, 468 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I2_O": {
+ "hide_name": 0,
+ "bits": [ 1097, 1093, 1098 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_I1": {
+ "hide_name": 0,
+ "bits": [ 498, 1101 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_LUT4_O_I2": {
+ "hide_name": 0,
+ "bits": [ 539, 1099, 1100 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_I2": {
+ "hide_name": 0,
+ "bits": [ 498, 499, 500, 501 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_2_I3": {
+ "hide_name": 0,
+ "bits": [ 539, 1102 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_2_I3_SB_LUT4_I3_O": {
+ "hide_name": 0,
+ "bits": [ 587, 529, 530, 531 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2": {
+ "hide_name": 0,
+ "bits": [ 1103, 1104 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I0_I3": {
+ "hide_name": 0,
+ "bits": [ 1103, 1097, 1104, 1105 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I0_I3_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 587, 539, 1080, 1107 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I0_O": {
+ "hide_name": 0,
+ "bits": [ 1106, 1108 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I0_O_SB_LUT4_I2_1_O": {
+ "hide_name": 0,
+ "bits": [ 1109, 1110, 1111 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I0_O_SB_LUT4_I2_O": {
+ "hide_name": 0,
+ "bits": [ 473, 474, 475 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I0_O_SB_LUT4_I2_O_SB_LUT4_O_1_I1": {
+ "hide_name": 0,
+ "bits": [ 476, 477, 478 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I0_O_SB_LUT4_O_I2": {
+ "hide_name": 0,
+ "bits": [ 1115, 1116 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I2_O": {
+ "hide_name": 0,
+ "bits": [ 1112, 1113, 1114 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I1_O": {
+ "hide_name": 0,
+ "bits": [ 495, 496, 497 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I1": {
+ "hide_name": 0,
+ "bits": [ 508, 509, 510 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2": {
+ "hide_name": 0,
+ "bits": [ 1117, 1118 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_1_I1": {
+ "hide_name": 0,
+ "bits": [ 978, 979, 980 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I2": {
+ "hide_name": 0,
+ "bits": [ 515, 516, 513, 517 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2": {
+ "hide_name": 0,
+ "bits": [ 587, 1120, 1121 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I0": {
+ "hide_name": 0,
+ "bits": [ 833, 540, 983, 1086 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I2": {
+ "hide_name": 0,
+ "bits": [ 1122, 1123 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_O_I1": {
+ "hide_name": 0,
+ "bits": [ 1127, 1128, 1129 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 803, 804, 805, 806 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 1127, 1128, 1129, 1130 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I1": {
+ "hide_name": 0,
+ "bits": [ 1048, 1131, 1132 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I1_SB_LUT4_I2_O": {
+ "hide_name": 0,
+ "bits": [ 1135, 1136, 1134 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I1": {
+ "hide_name": 0,
+ "bits": [ 1137, 1142, 1145, 1151 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2": {
+ "hide_name": 0,
+ "bits": [ 1137, 1138, 1139 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 833, 587, 1144, 1143 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 1048, 1131, 1132, 1133 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 1135, 1136, 1134, 1147 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 1035, 1036, 1037, 1148 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2": {
+ "hide_name": 0,
+ "bits": [ 835, 706, 1149 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_I3_O": {
+ "hide_name": 0,
+ "bits": [ 1035, 1036, 1037 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_I2": {
+ "hide_name": 0,
+ "bits": [ 993, 529, 1145 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_I2_O": {
+ "hide_name": 0,
+ "bits": [ 995, 996, 997 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I0": {
+ "hide_name": 0,
+ "bits": [ 1152, 1153, 1088, 1154 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I1": {
+ "hide_name": 0,
+ "bits": [ 884, 540, 1153 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I2": {
+ "hide_name": 0,
+ "bits": [ 1088, 1089 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_I3_O": {
+ "hide_name": 0,
+ "bits": [ 1038, 540, 1140, 1141 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_I3_O_SB_LUT4_I2_O": {
+ "hide_name": 0,
+ "bits": [ 1156, 1157, 1155 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_1_I3": {
+ "hide_name": 0,
+ "bits": [ 1152, 1089, 1160 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_1_I3_SB_LUT4_I3_O": {
+ "hide_name": 0,
+ "bits": [ 1161, 1162 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_1_I3_SB_LUT4_I3_O_SB_LUT4_I2_1_O": {
+ "hide_name": 0,
+ "bits": [ 1066, 1067, 1068 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_1_I3_SB_LUT4_I3_O_SB_LUT4_I2_O": {
+ "hide_name": 0,
+ "bits": [ 1124, 1125, 1126 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2": {
+ "hide_name": 0,
+ "bits": [ 833, 539, 1158, 1159 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_1_O": {
+ "hide_name": 0,
+ "bits": [ 1167, 1163, 1090 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_1_O_SB_LUT4_I1_O": {
+ "hide_name": 0,
+ "bits": [ 1005, 1006, 1007 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O": {
+ "hide_name": 0,
+ "bits": [ 515, 1082, 1166 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I3_O": {
+ "hide_name": 0,
+ "bits": [ 1163, 1164, 1165 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I3_O_SB_LUT4_I2_O": {
+ "hide_name": 0,
+ "bits": [ 1019, 1020, 1021 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 1159, 1022 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I3_SB_LUT4_I2_O": {
+ "hide_name": 0,
+ "bits": [ 835, 516, 981, 982 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I2_O": {
+ "hide_name": 0,
+ "bits": [ 970, 971, 972 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_I2": {
+ "hide_name": 0,
+ "bits": [ 587, 557, 902, 1119 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_I2_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 587, 542, 590, 1168 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 1137, 1145, 1146 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 835, 706, 1149, 1150 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2": {
+ "hide_name": 0,
+ "bits": [ 993, 587, 1169, 1170 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_I2_I1": {
+ "hide_name": 0,
+ "bits": [ 1171, 1172, 1170, 1173 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_I2_I1_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 842, 515, 1175 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_I2_O": {
+ "hide_name": 0,
+ "bits": [ 1177, 1174, 1178 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I1_O": {
+ "hide_name": 0,
+ "bits": [ 1033, 1032, 1034, 1179 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I1_O_SB_LUT4_I3_O": {
+ "hide_name": 0,
+ "bits": [ 839, 840, 838, 1180 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_I3_O": {
+ "hide_name": 0,
+ "bits": [ 1182, 1183, 1181, 1184 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_1_I0": {
+ "hide_name": 0,
+ "bits": [ 841, 815, 1185 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_1_I3": {
+ "hide_name": 0,
+ "bits": [ 841, 815, 1185, 1186 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_O_I1": {
+ "hide_name": 0,
+ "bits": [ 1187, 1188, 1189 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_O_I1_SB_LUT4_O_1_I1": {
+ "hide_name": 0,
+ "bits": [ 764, 1190, 1191 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_O_I1_SB_LUT4_O_1_I1_SB_LUT4_O_1_I2": {
+ "hide_name": 0,
+ "bits": [ 748, 752, 1195, 744 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_O_I1_SB_LUT4_O_1_I1_SB_LUT4_O_I1": {
+ "hide_name": 0,
+ "bits": [ 1192, 1193, 1194 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_O_I1_SB_LUT4_O_1_I1_SB_LUT4_O_I1_SB_LUT4_O_1_I1": {
+ "hide_name": 0,
+ "bits": [ 1201, 1202, 1203, 752 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_O_I1_SB_LUT4_O_1_I1_SB_LUT4_O_I1_SB_LUT4_O_1_I1_SB_LUT4_I1_O": {
+ "hide_name": 0,
+ "bits": [ 1196, 1197, 1198 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_O_I1_SB_LUT4_O_1_I1_SB_LUT4_O_I1_SB_LUT4_O_2_I2": {
+ "hide_name": 0,
+ "bits": [ 765, 561, 1206, 1207 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_O_I1_SB_LUT4_O_1_I1_SB_LUT4_O_I1_SB_LUT4_O_I2": {
+ "hide_name": 0,
+ "bits": [ 754, 702, 1199, 1200 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_O_I1_SB_LUT4_O_1_I1_SB_LUT4_O_I1_SB_LUT4_O_I2_SB_LUT4_O_I2": {
+ "hide_name": 0,
+ "bits": [ 723, 1205, 1201 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_O_I1_SB_LUT4_O_2_I1": {
+ "hide_name": 0,
+ "bits": [ 1204, 802, 799 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_2_I0": {
+ "hide_name": 0,
+ "bits": [ 773, 1208, 1209 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_2_I3": {
+ "hide_name": 0,
+ "bits": [ 773, 1208, 1209, 1210 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_2_I3_SB_LUT4_O_I1": {
+ "hide_name": 0,
+ "bits": [ 627, 628, 629 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_1_I2": {
+ "hide_name": 0,
+ "bits": [ 993, 539, 1212 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_I3_O": {
+ "hide_name": 0,
+ "bits": [ 803, 1046, 1047, 1048 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_1_I3": {
+ "hide_name": 0,
+ "bits": [ 993, 539, 1212, 1213 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_O_I2": {
+ "hide_name": 0,
+ "bits": [ 1176, 540, 1211, 1171 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I1": {
+ "hide_name": 0,
+ "bits": [ "0", 641, 642, 640 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I1_SB_LUT4_O_1_I1": {
+ "hide_name": 0,
+ "bits": [ 1214, 1215, 1216 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I1_SB_LUT4_O_I1": {
+ "hide_name": 0,
+ "bits": [ 636, 637, 638 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 640 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI": {
+ "hide_name": 0,
+ "bits": [ 1217 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI": {
+ "hide_name": 0,
+ "bits": [ 1220 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI": {
+ "hide_name": 0,
+ "bits": [ 1223 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI": {
+ "hide_name": 0,
+ "bits": [ 1226 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI": {
+ "hide_name": 0,
+ "bits": [ 1229 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0": {
+ "hide_name": 0,
+ "bits": [ 949, 950 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0": {
+ "hide_name": 0,
+ "bits": [ 1230, 542, 516, 1233 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_I3": {
+ "hide_name": 0,
+ "bits": [ 542, 516, 1233 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_I3_SB_LUT4_I3_O": {
+ "hide_name": 0,
+ "bits": [ 757, 1236, 1237, 1235 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I2_O": {
+ "hide_name": 0,
+ "bits": [ 1239, 1240, 1238 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2": {
+ "hide_name": 0,
+ "bits": [ 1242, 1243, 1244 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I3": {
+ "hide_name": 0,
+ "bits": [ 1245, 1246, 1249 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_I3_O": {
+ "hide_name": 0,
+ "bits": [ 1239, 1242, 1248, 1250 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I3": {
+ "hide_name": 0,
+ "bits": [ 754, 723, 1251 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_I2_O": {
+ "hide_name": 0,
+ "bits": [ 1253, 1254, 1252 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_I2_O_SB_LUT4_O_1_I2": {
+ "hide_name": 0,
+ "bits": [ 749, 754, 1256, 1257 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O": {
+ "hide_name": 0,
+ "bits": [ 1259, 1260, 1258 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2": {
+ "hide_name": 0,
+ "bits": [ 765, 745, 1261, 1262 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O": {
+ "hide_name": 0,
+ "bits": [ 1264, 1265, 1263 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2": {
+ "hide_name": 0,
+ "bits": [ 742, 749, 1266, 1267 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O": {
+ "hide_name": 0,
+ "bits": [ 1269, 1270, 1268 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2": {
+ "hide_name": 0,
+ "bits": [ 1271, 765, 1272, 1273 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O": {
+ "hide_name": 0,
+ "bits": [ 715, 716, 717 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2": {
+ "hide_name": 0,
+ "bits": [ 700, 742, 1274, 1275 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O": {
+ "hide_name": 0,
+ "bits": [ 719, 720, 721, 722 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_I3_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I3_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_1_I2_SB_LUT4_I2_O_SB_LUT4_O_2_I2": {
+ "hide_name": 0,
+ "bits": [ 1276, 1277 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_O": {
+ "hide_name": 0,
+ "bits": [ 1234, 1279 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_O_SB_LUT4_I0_I1": {
+ "hide_name": 0,
+ "bits": [ 1234, 1241, 1279, 1280 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_O_SB_LUT4_I0_O": {
+ "hide_name": 0,
+ "bits": [ 540, 766, 1058, 812 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_O_SB_LUT4_I0_O_SB_LUT4_O_I2": {
+ "hide_name": 0,
+ "bits": [ 702, 561, 1287, 1281 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_O_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I2_O": {
+ "hide_name": 0,
+ "bits": [ 1283, 1284, 1285 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_O_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_I1_O": {
+ "hide_name": 0,
+ "bits": [ 943, 944, 945 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_O_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 1287, 1288 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_O_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I2_O_SB_LUT4_O_I3_SB_LUT4_I3_O": {
+ "hide_name": 0,
+ "bits": [ 946, 947, 948 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_O_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I3_O": {
+ "hide_name": 0,
+ "bits": [ 724, 1282, 1289 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_O_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I3_O_SB_LUT4_O_I2": {
+ "hide_name": 0,
+ "bits": [ 757, 542, 1290, 1291 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_I0_O_SB_LUT4_I0_O_SB_LUT4_O_I2_SB_LUT4_I3_O_SB_LUT4_O_I2_SB_LUT4_I2_O": {
+ "hide_name": 0,
+ "bits": [ 784, 785, 786 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1": {
+ "hide_name": 0,
+ "bits": [ 1293, 1231 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_I3_1_O": {
+ "hide_name": 0,
+ "bits": [ "0", 1297, 1295, 1296 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_I3_1_O_SB_CARRY_I0_CO": {
+ "hide_name": 0,
+ "bits": [ 1280 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_I3_1_O_SB_LUT4_I1_O": {
+ "hide_name": 0,
+ "bits": [ 540, 1018, 816 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_I3_1_O_SB_LUT4_I1_O_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 565, 954, 951, 1287 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_I3_O": {
+ "hide_name": 0,
+ "bits": [ "0", 1294, 1298, "0" ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_I3_O_SB_CARRY_I0_CO": {
+ "hide_name": 0,
+ "bits": [ 1296 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_I3_O_SB_LUT4_I1_O": {
+ "hide_name": 0,
+ "bits": [ 540, 920, 819 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_I3_O_SB_LUT4_I1_O_SB_LUT4_O_I2": {
+ "hide_name": 0,
+ "bits": [ 954, 941 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I1": {
+ "hide_name": 0,
+ "bits": [ 702, 962, 966, 1232 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0": {
+ "hide_name": 0,
+ "bits": [ 1227 ],
+ "attributes": {
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1": {
+ "hide_name": 0,
+ "bits": [ 1228 ],
+ "attributes": {
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I0": {
+ "hide_name": 0,
+ "bits": [ 1224 ],
+ "attributes": {
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1": {
+ "hide_name": 0,
+ "bits": [ 1225 ],
+ "attributes": {
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I2": {
+ "hide_name": 0,
+ "bits": [ 1300, 1301 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_1_I3": {
+ "hide_name": 0,
+ "bits": [ 542, 516, 1278, 1305 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_I3_O": {
+ "hide_name": 0,
+ "bits": [ 1292, 1293 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_O_I2": {
+ "hide_name": 0,
+ "bits": [ 516, 1306, 1236 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_O_I2_SB_LUT4_I3_O": {
+ "hide_name": 0,
+ "bits": [ 968, 951, 1299 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_O_I2_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 723, 724, 1307 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_I1_1_O": {
+ "hide_name": 0,
+ "bits": [ 1310, 1311, 1312 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_I1_1_O_SB_LUT4_I1_1_O": {
+ "hide_name": 0,
+ "bits": [ 618, 619, 620 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_I1_I2": {
+ "hide_name": 0,
+ "bits": [ 1307, 1308, 786 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_I1_I2_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 754, 542, 766, 790 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_I1_O": {
+ "hide_name": 0,
+ "bits": [ 1313, 1309, 1314 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_I1_O_SB_LUT4_I1_O": {
+ "hide_name": 0,
+ "bits": [ 1315, 1286, 1316 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_I3_O": {
+ "hide_name": 0,
+ "bits": [ 1278, 1236 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 757, 702, 792 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I3_O": {
+ "hide_name": 0,
+ "bits": [ 1245, 1246, 1247 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_I2_SB_LUT4_O_1_I3_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1_I3": {
+ "hide_name": 0,
+ "bits": [ 754, 723, 1201 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_LUT4_I3_O": {
+ "hide_name": 0,
+ "bits": [ "0", 1317, 768, "0" ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_I0": {
+ "hide_name": 0,
+ "bits": [ "0", 1221, 1222, 1220 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_O_1_I2": {
+ "hide_name": 0,
+ "bits": [ 1302, 1303 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_I0_SB_LUT4_O_1_I2_SB_LUT4_O_1_I3": {
+ "hide_name": 0,
+ "bits": [ 1245, 1246, 1304 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_LUT4_I3_O": {
+ "hide_name": 0,
+ "bits": [ 540, 767, 753, 740 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_I0": {
+ "hide_name": 0,
+ "bits": [ "0", 1218, 1219, 1217 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_I0_SB_LUT4_O_1_I1": {
+ "hide_name": 0,
+ "bits": [ 561, 1022, 1255, 1318 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_13_D_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 736 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_14_D": {
+ "hide_name": 0,
+ "bits": [ 1322 ],
+ "attributes": {
+ }
+ },
+ "q1_440_SB_DFFESR_Q_14_D_SB_LUT4_O_I1": {
+ "hide_name": 0,
+ "bits": [ "0", 1320, 1321, 1319 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_14_D_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 1319 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_15_D": {
+ "hide_name": 0,
+ "bits": [ 1323 ],
+ "attributes": {
+ }
+ },
+ "q1_440_SB_DFFESR_Q_1_D": {
+ "hide_name": 0,
+ "bits": [ 699 ],
+ "attributes": {
+ }
+ },
+ "q1_440_SB_DFFESR_Q_1_D_SB_LUT4_O_I1": {
+ "hide_name": 0,
+ "bits": [ "0", 1324, "0", 1325 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_1_D_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 1325 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_2_D": {
+ "hide_name": 0,
+ "bits": [ 1329 ],
+ "attributes": {
+ }
+ },
+ "q1_440_SB_DFFESR_Q_2_D_SB_LUT4_O_I1": {
+ "hide_name": 0,
+ "bits": [ "0", 1328, "0", 1327 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_2_D_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 1327 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_3_D": {
+ "hide_name": 0,
+ "bits": [ 1333 ],
+ "attributes": {
+ }
+ },
+ "q1_440_SB_DFFESR_Q_3_D_SB_LUT4_O_I1": {
+ "hide_name": 0,
+ "bits": [ "0", 1331, 1332, 1330 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_3_D_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 1330 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_4_D": {
+ "hide_name": 0,
+ "bits": [ 1337 ],
+ "attributes": {
+ }
+ },
+ "q1_440_SB_DFFESR_Q_4_D_SB_LUT4_O_I1": {
+ "hide_name": 0,
+ "bits": [ "0", 1335, 1336, 1334 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_4_D_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 1334 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_5_D": {
+ "hide_name": 0,
+ "bits": [ 1341 ],
+ "attributes": {
+ }
+ },
+ "q1_440_SB_DFFESR_Q_5_D_SB_LUT4_O_I1": {
+ "hide_name": 0,
+ "bits": [ "0", 1339, 1340, 1338 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_5_D_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 1338 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_6_D": {
+ "hide_name": 0,
+ "bits": [ 1345 ],
+ "attributes": {
+ }
+ },
+ "q1_440_SB_DFFESR_Q_6_D_SB_LUT4_O_I1": {
+ "hide_name": 0,
+ "bits": [ "0", 1343, 1344, 1342 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_6_D_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 1342 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_7_D": {
+ "hide_name": 0,
+ "bits": [ 1349 ],
+ "attributes": {
+ }
+ },
+ "q1_440_SB_DFFESR_Q_7_D_SB_LUT4_O_I1": {
+ "hide_name": 0,
+ "bits": [ "0", 1347, 1348, 1346 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_7_D_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 1346 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_8_D": {
+ "hide_name": 0,
+ "bits": [ 1353 ],
+ "attributes": {
+ }
+ },
+ "q1_440_SB_DFFESR_Q_8_D_SB_LUT4_O_I1": {
+ "hide_name": 0,
+ "bits": [ "0", 1351, 1352, 1350 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_8_D_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 1350 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_9_D": {
+ "hide_name": 0,
+ "bits": [ 1357 ],
+ "attributes": {
+ }
+ },
+ "q1_440_SB_DFFESR_Q_9_D_SB_LUT4_O_I1": {
+ "hide_name": 0,
+ "bits": [ "0", 1355, 1356, 1354 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_9_D_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 1354 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_D": {
+ "hide_name": 0,
+ "bits": [ 697 ],
+ "attributes": {
+ }
+ },
+ "q1_440_SB_DFFESR_Q_D_SB_LUT4_O_I3": {
+ "hide_name": 0,
+ "bits": [ 1359 ],
+ "attributes": {
+ "abc9_carry": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
+ }
+ },
+ "q1_440_SB_DFFESR_Q_R": {
+ "hide_name": 0,
+ "bits": [ 256, 374 ],
+ "attributes": {
+ "force_downto": "00000000000000000000000000000001",
+ "src": "/usr/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
+ }
+ },
+ "q2_440": {
+ "hide_name": 0,
+ "bits": [ 540, 529, 539, 587, 515, 706, 835, 833, 884, 842, 993, 1038, 1040, 1176, 1326, 1358 ],
+ "attributes": {
+ "src": "mictoled.v:77.16-77.22"
+ }
+ }
+ }
+ }
+ }
+}
diff --git a/mictoled/mictoled.v b/mictoled/mictoled.v
new file mode 100644
index 0000000..0f14d71
--- /dev/null
+++ b/mictoled/mictoled.v
@@ -0,0 +1,103 @@
+/*
+ * Copyright(C) 2024 Matthias P. Braendli <matthias.braendli@mpb.li>
+ * Copyright(C) 2018 Gerald Coe, Devantech Ltd <gerry@devantech.co.uk>
+ *
+ * Permission to use, copy, modify, and/or distribute this software for any purpose with or
+ * without fee is hereby granted, provided that the above copyright notice and
+ * this permission notice appear in all copies.
+ *
+ * THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES WITH REGARD TO
+ * THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS.
+ * IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL
+ * DAMAGES OR ANY DAMAGES WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN
+ * AN ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF OR IN
+ * CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.
+ */
+
+// This is following the excellent explanation on
+// https://tomverbeure.github.io/2020/09/30/Moving-Average-and-CIC-Filters.html
+
+module mictoled
+ #(
+ parameter integer INTEGRATOR_WIDTH=16,
+ parameter integer COMB_WIDTH=12)
+ (
+ input clk, // 12MHz
+ input btn,
+
+ output mic_clk,
+ input mic_dat,
+
+ output led1, output led2, output led3, output led4,
+ output led5, output led6, output led7, output led8,
+ output lcol1, output lcol2, output lcol3, output lcol4
+ );
+ /* PDM mic clock gen */
+ reg [31:0] clkdiv = 8'b0;
+ always @ (posedge clk) begin
+ clkdiv <= (clkdiv + 1) % 24;
+ end
+
+ wire mic_strobe = clkdiv == 12;
+ assign mic_clk = clkdiv >= 12;
+
+ /* CIC decimator by 64 => 2MHz -> 31.25 kHz */
+ reg [INTEGRATOR_WIDTH-1:0] integrator = 0;
+ reg [5:0] decim_strobe_counter = 8'b0;
+ always @ (posedge clk) begin
+ if (mic_strobe) begin
+ if (mic_dat == 0)
+ integrator <= integrator + 1;
+ else
+ integrator <= integrator - 1;
+
+ decim_strobe_counter <= (decim_strobe_counter + 1) % 64;
+ end
+ end
+
+ /* Comb stage after decimation */
+ wire decim_strobe = decim_strobe_counter == 0 && mic_strobe;
+ reg [INTEGRATOR_WIDTH-1:0] previous_integrator = 0;
+ reg [COMB_WIDTH-1:0] comb_out = 0; // can be reduced_width
+ always @ (posedge clk) begin
+ if (decim_strobe) begin
+ comb_out = integrator - previous_integrator;
+ previous_integrator <= integrator;
+ end
+ end
+
+ /* Goertzel Algorithm to detect presence of frequencies */
+ // coef = 2 * cos(2 * PI * freq / sample_rate);
+ // 440 Hz -> 1.992178649
+ // 1200 Hz -> 1.942068556
+ // Represent in fixed point in Q4.10, i.e. a scaling factor of 1024
+ //integer coef_440 = 2040; // 439.75 Hz
+ real coef_440 = 1.992178649;
+
+ real q1_440 = 0;
+ real q2_440 = 0;
+ reg [9:0] goertzel_strobe_counter = 0;
+
+ real m_squared_440 = 0;
+
+ always @ (posedge clk) begin
+ if (decim_strobe) begin
+ goertzel_strobe_counter <= goertzel_strobe_counter + 1; // overflow at 1024
+
+ if (goertzel_strobe_counter % 256 == 0) begin
+ m_squared_440 <= q1_440 * q1_440 + q2_440 * q2_440 - coef_440 * q1_440 * q2_440;
+ q1_440 <= 0;
+ q2_440 <= 0;
+ end
+ else begin
+ q1_440 <= coef_440 * q1_440 - q2_440 + comb_out;
+ q2_440 <= q1_440;
+ end
+ end
+ end
+
+ /* LED drivers - counter is inverted for display because leds are active low */
+ //assign {led8, led7, led6, led5, led4, led3, led2, led1} = m_squared_440[14:6] ^ 8'hff;
+ assign {lcol4, lcol3, lcol2, lcol1} = 4'b1110;
+
+endmodule
diff --git a/mictoled/mictoled_tb.v b/mictoled/mictoled_tb.v
new file mode 100644
index 0000000..d2681d3
--- /dev/null
+++ b/mictoled/mictoled_tb.v
@@ -0,0 +1,54 @@
+`timescale 1ns/1ns
+
+module mictoled_tb;
+ reg clk;
+ reg btn;
+
+ reg mic_dat;
+ reg[1:0] data[4000000];
+
+
+ wire led1;
+ wire led2;
+ wire led3;
+ wire led4;
+ wire led5;
+ wire led6;
+ wire led7;
+ wire led8;
+ wire lcol1;
+ wire lcol2;
+ wire lcol3;
+ wire lcol4;
+
+ wire mic_clk;
+
+ mictoled mictoled_1 (clk, btn,
+ mic_clk, mic_dat,
+ led1, led2, led3, led4, led5, led6, led7, led8, lcol1, lcol2, lcol3, lcol4);
+
+ always #1 clk = ~clk;
+
+ integer i;
+ initial begin
+ $dumpfile("mictoled_tb.vcd");
+ $dumpvars(0, mictoled_1);
+
+ // $monitor("Time = %0t clk = %0d sig = %0d", $time, clk, sig);
+
+ mic_dat = 0;
+ clk = 0;
+ btn = 1;
+ #10 btn = 0;
+
+ $readmemh("pdmgen/pdm.hex", data);
+ for (i = 0; i < 400000; i = i + 1) begin
+ wait (mic_clk == 1'b1);
+ mic_dat = data[i];
+ wait (mic_clk == 1'b0);
+ end
+ //wait (rdy) $display("y=%d", y);
+ $finish;
+ end
+
+endmodule
diff --git a/mictoled/pdmgen/.gitignore b/mictoled/pdmgen/.gitignore
new file mode 100644
index 0000000..6e712d8
--- /dev/null
+++ b/mictoled/pdmgen/.gitignore
@@ -0,0 +1,3 @@
+pdm.hex
+pdm.npy
+target/
diff --git a/mictoled/pdmgen/Cargo.lock b/mictoled/pdmgen/Cargo.lock
new file mode 100644
index 0000000..db8ba76
--- /dev/null
+++ b/mictoled/pdmgen/Cargo.lock
@@ -0,0 +1,7 @@
+# This file is automatically @generated by Cargo.
+# It is not intended for manual editing.
+version = 3
+
+[[package]]
+name = "pdmgen"
+version = "0.1.0"
diff --git a/mictoled/pdmgen/Cargo.toml b/mictoled/pdmgen/Cargo.toml
new file mode 100644
index 0000000..d93909a
--- /dev/null
+++ b/mictoled/pdmgen/Cargo.toml
@@ -0,0 +1,6 @@
+[package]
+name = "pdmgen"
+version = "0.1.0"
+edition = "2021"
+
+[dependencies]
diff --git a/mictoled/pdmgen/plot.py b/mictoled/pdmgen/plot.py
new file mode 100644
index 0000000..daa238c
--- /dev/null
+++ b/mictoled/pdmgen/plot.py
@@ -0,0 +1,16 @@
+#!/usr/bin/env python
+import sys
+import numpy as np
+import matplotlib.pyplot as pp
+
+pdm = np.fromfile("pdm.npy", np.int8)
+
+pdm_decim = np.sum(pdm.reshape(-1, 64), axis=1)
+
+fig = pp.figure()
+fig.suptitle("PDM decimated")
+ax1 = fig.add_subplot(1, 1, 1)
+ax1.plot(pdm_decim)
+
+pp.show()
+
diff --git a/mictoled/pdmgen/src/main.rs b/mictoled/pdmgen/src/main.rs
new file mode 100644
index 0000000..873f3ea
--- /dev/null
+++ b/mictoled/pdmgen/src/main.rs
@@ -0,0 +1,63 @@
+use std::{io::Write, slice};
+
+fn main() {
+ let freq_ampl_pairs : Vec<String> = std::env::args()
+ .skip(1)
+ .collect();
+
+ let freq_ampl : Vec<(f32, f32)> = freq_ampl_pairs
+ .chunks_exact(2)
+ .map(|c| {
+ (c[0].parse().expect("argument should convert to 32"),
+ c[1].parse().expect("argument should convert to 32"))
+ })
+ .collect();
+
+ println!("PDM gen with:");
+ for (f, a) in &freq_ampl {
+ println!("Freq: {} Ampl: {}", f, a);
+ }
+
+ const AUDIO_RATE : usize = 31250;
+ const INTERP : usize = 64;
+ //const PDM_RATE : usize = AUDIO_RATE * INTERP;
+
+ const AUDIO_LEN : usize = 2 * AUDIO_RATE;
+
+ let mut audio_signal = Vec::new();
+ audio_signal.resize(AUDIO_LEN, 0f32);
+ use std::f32::consts::PI;
+ for (f, a) in &freq_ampl {
+ for n in 0..AUDIO_LEN {
+ audio_signal[n] += a * f32::sin(2.0 * PI * f / (AUDIO_RATE as f32) * (n as f32));
+ }
+ }
+
+ let mut pdm_signal = Vec::with_capacity(audio_signal.len() * INTERP);
+ for samp in audio_signal {
+ let mut quant = 0i8;
+ let mut sigma = 0.0;
+ for _i in 0..INTERP {
+ let delta = samp - quant as f32;
+ sigma += delta;
+ quant = if sigma >= 0.0 { 1 } else { -1 };
+ pdm_signal.push(quant);
+ }
+ }
+
+ let fd = std::fs::File::create("pdm.npy").expect("pdm.npy should be created");
+ let mut fd = std::io::BufWriter::new(fd);
+ let slice_u8: &[u8] = unsafe {
+ slice::from_raw_parts(
+ pdm_signal.as_ptr() as *const u8,
+ pdm_signal.len(),
+ )
+ };
+ fd.write(slice_u8).expect("write to pdm.npy");
+
+ let fd = std::fs::File::create("pdm.hex").expect("pdm.hex should be created");
+ let mut fd = std::io::BufWriter::new(fd);
+ for samp in pdm_signal {
+ writeln!(&mut fd, "{}", if samp > 0 { 1 } else { 0 }).expect("write to pdm.hex");
+ }
+}
diff --git a/mictoled/readMe b/mictoled/readMe
new file mode 100644
index 0000000..a2402a1
--- /dev/null
+++ b/mictoled/readMe
@@ -0,0 +1,8 @@
+Pulse density modulation microphone is connected to pins:
+
+- CLK: P9
+- DAT: M9
+- SEL: GND
+
+make mictoled
+make burn
diff --git a/mictoled/wave.gtkw b/mictoled/wave.gtkw
new file mode 100644
index 0000000..a584a1a
--- /dev/null
+++ b/mictoled/wave.gtkw
@@ -0,0 +1,42 @@
+[*]
+[*] GTKWave Analyzer v3.3.120 (w)1999-2024 BSI
+[*] Mon Dec 16 15:52:52 2024
+[*]
+[dumpfile] "/home/bram/work/icefun/iceFUN/mictoled/mictoled_tb.vcd"
+[dumpfile_mtime] "Mon Dec 16 15:52:27 2024"
+[dumpfile_size] 330579603
+[savefile] "/home/bram/work/icefun/iceFUN/mictoled/wave.gtkw"
+[timestart] 18873287
+[size] 1878 1032
+[pos] -1 -1
+*-8.400001 18874393 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1
+[treeopen] mictoled_tb.
+[sst_width] 203
+[signals_width] 335
+[sst_expanded] 1
+[sst_vpaned_height] 306
+@28
+mictoled_tb.mictoled_1.mic_dat
+mictoled_tb.mictoled_1.mic_strobe
+@22
+mictoled_tb.mictoled_1.decim_strobe_counter[5:0]
+@28
+mictoled_tb.mictoled_1.decim_strobe
+@420
+mictoled_tb.mictoled_1.previous_integrator[15:0]
+mictoled_tb.mictoled_1.comb_out[11:0]
+@22
+mictoled_tb.mictoled_1.goertzel_strobe_counter[9:0]
+@88420
+[color] 2
+mictoled_tb.mictoled_1.integrator[15:0]
+@200
+-
+-Goertzel
+@28
+mictoled_tb.mictoled_1.q1_440
+mictoled_tb.mictoled_1.q2_440
+@29
+mictoled_tb.mictoled_1.m_squared_440
+[pattern_trace] 1
+[pattern_trace] 0