summaryrefslogtreecommitdiffstats
path: root/doc/example.ini
diff options
context:
space:
mode:
authorMatthias P. Braendli (think) <matthias@mpb.li>2012-08-14 15:27:45 +0200
committerMatthias P. Braendli (think) <matthias@mpb.li>2012-08-14 15:27:45 +0200
commit18c42e474a2ff85d5a09361de545b457af30b810 (patch)
tree6c1ce65c0b427cc3161742a8e40f03a250f2f466 /doc/example.ini
parentfc7a0ee1706ce6d738c89298e3b35d62442bd3c1 (diff)
downloaddabmod-18c42e474a2ff85d5a09361de545b457af30b810.tar.gz
dabmod-18c42e474a2ff85d5a09361de545b457af30b810.tar.bz2
dabmod-18c42e474a2ff85d5a09361de545b457af30b810.zip
crc-dabmod: added example ini file into doc/
Diffstat (limited to 'doc/example.ini')
-rw-r--r--doc/example.ini46
1 files changed, 46 insertions, 0 deletions
diff --git a/doc/example.ini b/doc/example.ini
new file mode 100644
index 0000000..93f5693
--- /dev/null
+++ b/doc/example.ini
@@ -0,0 +1,46 @@
+# Sample configuration file for CRC-DABMOD
+
+[input]
+filename=/dev/stdin
+loop=1
+
+[modulator]
+# Gain mode: 0=FIX, 1=MAX, 2=VAR
+gainmode=2
+
+# Transmission mode
+mode=2
+
+# Set to 0 to disable CicEqualiser
+dac_clk_rate=0
+
+digital_gain=1.0
+
+# Output sample rate
+rate=2048000
+
+[firfilter]
+enabled=0
+filtertapsfile=simple_taps.txt
+
+[output]
+# choose output: possible values: uhd, file
+output=uhd
+
+[fileoutput]
+filename=/dev/stdout
+
+[uhdoutput]
+device=master_clock_rate=32768000,type=b100
+frequency=234208000
+txgain=0
+
+# Used for SFN with the UHD output
+[delaymanagement]
+synchronous=1
+
+# choose between fixed and dynamic offset definition
+management=dynamic
+
+fixedoffset=0.002
+dynamicoffsetfile=modulator_offset